实验七计数器及其应用

实验七计数器及其应用
实验七计数器及其应用

实验七计数器及其应用 The Standardization Office was revised on the afternoon of December 13, 2020

实验七计数器及其应用

一、实验目的

1、学习用集成触发器构成计数器的方法

2、掌握中规模集成计数器的使用及功能测试方法

3、运用集成计数计构成1/N分频器

二、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

1、中规模十进制计数器

CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数

等功能,其引脚排列及逻辑符号如图7-1

图7-1 CC40192引脚排列及逻辑符号

图中 LD —置数端 CP U —加计数端 CP D —减计数端 CO —非同步进位输出端 BO —非同步借位输出端 D 0、D 1、D 2、D 3 —计数器输入端

Q 0、Q 1、Q 2、Q 3 —数据输出端 CR —清除端

CC40192(同74LS192,二者可互换使用)的功能如表7-1,说明如下: 表7-1

当清除端CR 为高电平“1”时,计数器直接清零;CR

置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表9-2为8421码十进制加、减计数器的状态转换表。 表7-2

加法计数

减计数

2、用D触发器构成异步二进制加/减计数器

图7-2是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP 端相连接。

图7-2 四位二进制异步加法计数器

若将图7-2稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。

3、计数器的级联使用

一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。

同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。

图7-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

图7-3 CC40192级联电路

4、实现任意进制计数

(1) 用复位法获得任意进制计数器

假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图7-4所示为一个由CC40192十进制计数器接成的6进制计数器。

(2) 利用预置功能获M进制计数器

图7-5是一个特殊12进制的计数器电路方案。在数字钟里,对时位的计数序列是1、2、…11,12、1、…是12进制的,且无0数。如图所示,当计数到13时,通过与非门产生一个复位信号,使CC40192(2)〔时十位〕直接置成0000,而CC40192(1),即时的个位直接置成0001,从而实现了1-12计数。

图7-4 6进制计数器 7-5 特殊12进制计数器

三、实验设备与器件

1、+5V直流电源

2、双踪示波器

3、连续脉冲源

4、单次脉冲源

5、逻辑电平开关

6、逻辑电平显示器

7、译码显示器

8、 CC40192×2(74LS192) CC4012(74LS20) CC4013×2(74LS74)

四、实验内容(提示:各项实验的计数输出可接到LED数码管显示电路显示)

1、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能

计数脉冲由单次脉冲源提供,清除端CR、置数端LD、数据输入端D3 、D2、D1、D0 分别接逻辑开关,输出端 Q3、Q2、Q1、Q0接实验设备的一个译码显示输入相应插口A、B、C、D;CO和BO接逻辑电平显示插口。按表7-1逐项测试并判断该集成块的功能是否正常。

(1)清除

令CR=1,其它输入为任意态,这时Q3Q2Q1Q0=0000,译码数字显示为0。清除功能完成后,置CR=0

(2)置数

CR=0,CP U,CP D任意,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出,予置功能是否完成,此后置LD=1。

(3)加计数

CR=0,LD=CP D=1,CP U接单次脉冲源。清零后送入10个单次脉冲,观察译码数字显示是否按8421码十进制状态转换表进行;输出状态变化是否发生在CP U的上升沿。

(4)减计数

CR=0,LD=CP U=1,CP D接单次脉冲源。参照3)进行实验。

2、图7-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz 连续计数脉冲,进行由00—99累加计数,记录之。

3、将两位十进制加法计数器改为两位十进制减法计数器,实现由99—00递减计数,记录之。

4、6进制计数器,按图7-4电路进行实验,记录之。

5、特殊12进制计数器,按图7-5进行实验,记录之。

6、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。(选做) (1) 按图7-2接线,R D接至逻辑开关输出插口,将低位CP0端接单次脉冲源,输出端Q3、Q2、Q3、Q0接逻辑电平显示输入插口,各S D接高电平“1”。

(2) 清零后,逐个送入单次脉冲,观察并列表记录 Q3~Q0状态。

(3) 将单次脉冲改为1HZ的连续脉冲,观察Q3~Q0的状态。

(4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q3、Q2、Q1、Q0端波形,描绘之。

(5) 将图7-2电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计数器,按实验内容2),3),4)进行实验,观察并列表记录Q3~Q0的状态。

五、实验预习要求

1、复习有关计数器部分内容

2、绘出各实验内容的详细线路图

3、拟出各实验内容所需的测试记录表格

4、查手册,给出并熟悉实验所用各集成块的引脚排列图

六、实验报告

1、画出实验线路图,记录、整理实验现象及实验所得的有关波形。对实验结果进行分析。

2、总结使用集成计数器的体会。

实验六计数器及其应用

实验六计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 1、用D触发器构成异步二进制加/减计数器 图1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图1 四位二进制异步加法计数器 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示。 图2 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U —加计数端 CP D —减计数端

CO—非同步进位输出端BO—非同步借位输出端 D 0、D 1 、D 2 、D 3 —计数器输入端 Q 0、Q 1 、Q 2 、Q 3 —数据输出端 CR—清除端 CC40192(同74LS192,二者可互换使用)的功能如表9-1,说明如下: 表9-1 3、计数器的级联使用 图3是由CC40192利用进位输出CO控制高一位的CP U 端构成的加数级联图。 图3 CC40192级联电路 4、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图4所示为一个由CC40192 十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图4 六进制计数器

三、实验设备与器件 1、+5V直流电源 2、双踪示波器 3、连续脉冲源 4、单次脉冲源 5、逻辑电平开关 6、逻辑电平显示器 7、译码显示器 8、 CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00) CC4012(74LS20) 四、实验内容 1、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。 (1) 按图9-1接线,R D 接至逻辑开关输出插口,将低位CP 端接单次脉冲源, 输出端Q 3、Q 2 、Q 3 、Q 接逻辑电平显示输入插口,各S D接高电平“1”。 (2) 清零后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 状态。 (3) 将单次脉冲改为1HZ的连续脉冲,观察Q 3~Q 的状态。 (4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q 3、Q 2 、Q 1 、Q 端波 形,描绘之。 5) 将图9-1电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计 数器,按实验内容2),3),4)进行实验,观察并列表记录Q 3~Q 的状态。 2、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能 (1) 清除:CR=1 (2) 置数:CR=0,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出。 (3) 加计数:CR=0,LD=CP D =1,CP U 接单次脉冲源。 (4) 减计数:CR=0,LD=CP U =1,CP D 接单次脉冲源。 3、图9-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz连续计数脉冲,进行由00—99累加计数,记录之。 4、按图4电路进行实验,记录之。

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

实验7 计数器

CHANGZHOU INSTITUTE OF TECHNOLOGY 题目7:计数器 二级学院(直属学部):延陵学院 专业:电气工程及其自动化班级:10电Y3 学生姓名:学号:

1、学习80C51单片机计数器的使用和编程 2、熟悉计数器中断处理程序的编程 二、实验电路

四、实验操作和调试 通过引脚T0从外部输入3个计数脉冲后触发中断,在T0的计数中断服务程序中,接在P0口的绿色LED闪烁3次,返回主程序。 引脚T1从外部输入6个计数脉冲后触发中断,在T1的计数中断服务程序中,接在P2口的红色LED闪烁6次,返回主程序。 T0和T1总的中断次数在P1口的7段BCD数码管中显示。 五、实验程序 #include #include #define TRUE 1 #define uchar unsigned char uchar time0IntCounter=0; //定时器0溢出计数 uchar time1IntCounter=0; //定时器1溢出计数 uchar totalIntTimes=0; //总中断次数 void time(unsigned int ucMs); //延时单位:ms

void main(void) { TMOD=0x066; //设置外部中断0和1为外部脉冲输入计数器,设工作方式1,16位计数器 TH0=0xFF;TL0=0xFD; //设置计数器0的初值FFFDH,3个计数脉冲产生中断 TH1=0xFF;TL1=0xFA; //设置计数器1的初值FFFAH,6个计数脉冲产生中断 TR0=1; //开启定时器0 TR1=1; //开启定时器1 IE=0x8a; //开启定时器0和1中断 P1=totalIntTimes; //总中断次数送P1 while(1){} //等待定时器0和1中断 } /************定时器0中断服务程序*****/ void timer0(void) interrupt 1 { unsigned char counter; //循环次数计数 EA=0; //关总中断 TR0=0; //停止计时 totalIntTimes++; //总中断次数加1 P1= totalIntTimes; //总中断次数送P1 for(counter=0;counter<255;counter++) { P0=0; //点亮P0口LED1 time(300); //延时300ms P0=0xff; //熄灭P0口LED1 time(300); //延时300ms } TH0=0xFF;TL0=0xFD; //设置计数器0的初值FFFDH,3个计数脉冲产生中断 TR0=1; //开启定时器0 EA=1; //开总中断 } /************定时器1中断服务程序*****/ void timer1(void) interrupt 3 { unsigned char counter; //循环次数计数 EA=0; //关总中断 TR1=0; //停止计时 totalIntTimes++; //总中断次数加1 P1=totalIntTimes; //总中断次数送P1

实验六 8254定时计数器

电工电子实验中心 实验报告 课程名称:计算机硬件技术基础实验名称:8254定时/计数器 姓名:学号: 评定成绩:审阅教师: 实验时间:2017.06.06 南京航空航天大学

一、实验目的要求 1) 掌握 8254 定时/计数器的名种工作方式及编程方法。 二、实验任务 按照图 3-2-1 的要求连线,分别对 8254 芯片的 3 个定时/计数器编程,并选择合适的工作方式和初值,以达到如下的效果: 1) 定时/计数器 0,计数脉冲频率为 18.432KHz,OUT0 分两路输出, 一路外接 2 位 LED,使其以亮 0.5 秒灭 0.5 秒循环闪亮,另一路作为计数器 1 的计数脉冲 CLK1。 2) 定时/计数器 1,OUT1 的输出外接 2 位 LED,使其以亮 3 秒灭 1 秒循环闪亮。 3) 定时/计数器 2 的计数脉冲来自单次脉冲单元,按压开关产生的脉 冲作为计数器 2 的计数脉冲。OUT2 外接 2 位 LED,当按压开关到 17 次时LED 长亮,并将按压开关的剩余次 数将在屏幕上显示。 三、实验电路图 图3-2-1 8254定时/计数器电原理图

四、实验代码 IOY0 EQU 3000H TIMER0 EQU IOY0+00H*4 ;8254计数器0端口地址 TIMER1 EQU IOY0+01H*4 ;8254计数器1端口地址 TIMER2 EQU IOY0+02H*4 ;8254计数器2端口地址 TCTL EQU IOY0+03H*4 ;8254控制寄存器端口地址 STACK1 SEGMENT STACK DW 256 DUP(?) STACK1 ENDS DATA SEGMENT MES0 DB ‘Pressed: $’ MES1 DB ‘Press any key to exit !’,0DH,0AH,’$’NUM DB ? DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX, DATA MOV DS, AX MOV DX, OFFSET MES1 MOV AH, 9 INT 21H MOV DX, TCTL MOV AL, 00110110B ; 计数器0初始化,方式3 OUT DX, AL MOV DX, TIMER0 MOV AL, 00H OUT DX, AL ;计数器0初值=4800H MOV AL, 48H OUT DX, AL MOV DX, TCTL MOV AL, 01010101B ; 计数器1初始化,方式2 OUT DX, AL MOV DX, TIMER1 MOV AL, 04H ; 计数器1初值=04H OUT DX, AL MOV DX, TCTL MOV AL, 10010001B ; 计数器2初始化,方式0 OUT DX, AL MOV DX, TIMER2 MOV AL, 0FH ;计数器0初值=0FH

实验五 时序逻辑电路实验报告 计数器

实验五 时序逻辑电路实验 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 1.直流稳压电源、信号源、示波器、万用表、面包板 2.74LS190、74LS393、74LS04 3.1kΩ电阻、发光二极管 三、实验原理 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器

六进制扭环计数器 具有方波输出的六分频电路 图5.1 74LS161(74LS163)外部引脚图 四、实验内容及步骤 1.集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环形计数器,重复上述操作。 2.分频实验 同步置数法 同步清零法

实验6-计数器

实验六计数器 一、实验目的 1、掌握计数器74LS162的功能。 2、掌握计数器的级联方法。 3、熟悉任意模计数器的构成方法。 4、熟悉数码管的使用。 二、实验说明 计数器器件是应用较广的器件之一,它有很多型号,各自完成不同的功能,可根据不同的需要选用。本实验选用74LS162做实验器件。74LS162引脚图见附录。74LS162是十进制BCD同步计数器。Clock是时钟输入端,上升沿触发计数触发器翻转。允许端P和T都为高电平时允许计数,允许端T为低时禁止Carry产生。同步预置端Load加低电平时,在下一个时钟的上升沿将计数器置为预置数据端的值。清除端Clear为同步清除,低电平有效,在下一个时钟的上升沿将计数器复位为0。74LS162的进位位Carry在计数值等于9时,进位位Carry为高,脉宽是1个时钟周期,可用于级联。 三、实验所用器件和仪器 1、同步4位BCD计数器74LS162 2片 2、二输入四与非门74LS00 1片 3、示波器 四、实验内容 1、用1片74LS162和1片74LS00采用复位法构一个模7计数器。用单脉冲做计数时钟,观测计数状态,并记录。用连续脉冲做计数时钟,观测并记录Q D,Q C,Q B,Q A的波形。 2、用1片74LS162和1片74LS00采用置位法构一个模7计数器。用单脉冲做计数时钟,观测并记录Q D,Q C,Q B,Q A的波形。 3、用2片74LS162和1片74LS00构成一个模60计数器。2片74LS162的Q D,Q C,Q B,Q A分别接两个译码显示的D,B,C,A端。用单脉冲做计数时钟,观测数码管数字的变化,检验设计和接线是否正确。 五、实验接线及测试结果 1、复位法构成的模7计数器接线图及测试结果 (1)复位法构成的模7计数器接线图

实验七 计数器及其应用学生版

实验七计数器及其应用 一、实验目的 1.学习用集成触发器构成计数器的方法 2.掌握中规模集成计数器的使用方法及功能测试方法 3.运用集成计数器构成1∕N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数器的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、用D触发器构成异步二进制加∕减计数器 图7-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T′触发器,再由低位触发器的Q端和高一位的CP端相连接。 图7-1 若将图7-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器 三、实验内容 1.用74LS74触发器构成4位二进制一步加法计数器。 (1)按图7-1连接,R D接至逻辑开关输出插口,将低位CP O端接单次脉冲源,输出端Q3、Q2、Q1、Q0接逻辑电平显示输入插口。 (2)清零后,逐个送入单次脉冲,观察并列表记录Q3~Q0状态。 (3)将图7-1电路中的底位触发器的Q端与高一位的CP端相连接,构成减法计数器,按实验内容(2)、(3)进行实验,构成并列表记录Q3~Q0的状态。

数电实验报告 计数器

实验报告 实验七计数器原理测试及其设计 2.7.1 实验目的 1.掌握中规模集成计数器74LS160、74LS161、74LS163的逻辑功能及使用方法。 2.掌握同步清零与异步清零的区别及74LS160计数器的级联方法。 3.学习用中规模集成计数器设计任意进制计数器。 2.7.2 实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS160,74LS161和74LS163。 2.7.3 实验原理 计数器的功能是记录输入脉冲的个数。他所能记忆的最大脉冲个数称为该计数器的模。计数器不仅能统计输入脉冲的个数,还可以用作分频、定时、产生节拍脉冲等。根据进位方式,可分为同步和异步两类。根据进制,可分为二进制、十进制和任意进制等。根据逻辑功能,可分为加法计数器、减法计数器和可逆计数器等。根据电路集成度,可分为小规模集成计数器和中规模集成计数器。 2.7.4 实验内容 1.分别用74LS161和74LS163设计模13计数器,采用清零法实现,并用数码管显示实验结果。 设计思路:74LS161是十六进制计数器,所以我在它计数到13(1101)清零就行了,再利用二进制数与BCD码对应关系,即利用74LS283的逻辑功能使数码管显示实验结果。计数时电路状态转换关系: 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→0000

设计思路:74LS163接法与74LS161基本一样,只是163的清零信号是12不是13,如图: 2.设计一个用3位数码管指示的六十进制计数器,并用三只开关控制计数器的数据保持、计数及清零功能。 设计思路:用Cr=0控制计数器清零,用EP*ET=0控制计数器数据保持,用高低电平和CP脉冲进行与运算控制计数器计数功能。U1的清零信号是在计数到6时,U1清零的同时U3开始计数,这样就能实现用3位数码管指示的六十进制计数器。如图:

实验六 任意进制计数器的构成

实验六任意进制计数器的构成 设计性实验 一、实验目的 1、学习用集成触发器构成计数器的方法; 2、掌握中规模集成计数器的使用及功能测试方法; 3、运用集成计数计构成N分频器,了解计数计的分频作用。 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、用D触发器构成异步二进制加/减计数器 图6-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图6-1 四位二进制异步加法计数器 若将图6-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图6-2所示。 图中LD—置数端CP U—加计数端CP D—减计数端 CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3—计数器输入端

Q 0、Q 1、Q 2、Q 3 —数据输出端 CR 图6-2 CC40192引脚排列及逻辑符号 CC40192(同74LS192,二者可互换使用)的功能如表6-1,说明如下: 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。 当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表6-2为8421码十进制加、减计数器的状态转换表。 表6-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个

实验十一 同步计数器的逻辑功能测试及应用

实验十一计数器74LS161的逻辑功能测试及应用 一、实验目的 1、熟悉集成计数器触的逻辑功能和各控制端作用。 2、掌握集成计数器逻辑功能测试方法。 3、掌握计数器使用方法。 二、实验设备与器件 1、实验设备:DLBS系列数字逻辑实验箱1个,MF47型万用表1台。 2、实验器件:74LS161集成同步计数器×2片,四二输入与非门74LS00×1块。 三、实训器件说明 1、 74LS161集成同步计数器 74LS161是一种同步四位二进制同步加法计数器,计数范围是0~15,具有异步清零、同步置数、保持和二进制加法计数等逻辑功能。图11.1所示为74LS161的管脚图和逻 辑功能示意图。图中CR端是异步清零控制端,当CR=0时,输出Q3Q2Q1Qo全为零,实现异步清除功能。LD是同步置数控制端,当CR=1,LD=0,且CP=CP↑时,输出 Q3Q2Q1Qo=D3D2D1Do,实现同步预置数功能。CTP和CTT是计数控制端,CP是上升沿有效的时钟脉冲输入端,D0~D3是并行数据输入端,Q0~Q3是计数输出端,CO是进位输出端,且进位输出信号CO=CTt=Q3Q2Q1Qo ,它可以用来实现电路的级联扩展。 74LS161的逻辑功能如表6.9所示。表中各控制输入端按优先级从高到低的次序排列, 依次为CR、LD、CTp和CTt,其中CR优先级最高。计数输出Q3为最高位,Qo为最低 位。

由表6.9可知,74LS161具有以下逻辑功能: (1)异步清零。当CR=0时,计数器清零,与CP脉冲无关,所以称为异步清零。(2)同步置数。当CR=1,LD=0 ,CP脉冲上升沿到来时,并行输入数据D3—Do被 置入计数器,计数器输出为D3D2D1Do 。由于置数发生在脉冲CP上升沿时段,故称为同步置数。 (3)保持功能。当CR=LD=1,且CTp?CTt=0时,输出Q3Q2Q1Qo=Q3Q2Q1Qo。保持不变。 (4)计数功能。当CR=LD=CTp=CTt=1时,且CP=CP↑时,计数器处于计数状态才开 始加法计数,实现计数功能。随着CP脉冲上升沿的到来,计数器对CP脉冲进行二进制加法计数,每来一个CP脉冲,计数值加“1”。当计数值达到15 时,进位输出CO为“1”。 2、由74LS161同步计数器构成任意(N)进制计数器方法 (1)直接清零法 直接清零法是利用芯片的复位端CR和与非门,将N所对应的输出二进制代码中等于“1”的输出端,通过与非门反馈到集成芯片的复位端CR,使输出回零。 例如,用74LS161芯片构成十进制计数器电路如图11.2所示。 (2)预置数法 预置数法是利用芯片的预置数端LD和预置输入端D3D2D1Do,因74LS161芯片的LD是同步预置数端,所以只能采用N-1值反馈法,其计数过程中不会出现过渡状态。例如图10.3所示的七进制计数器电路。

8254定时计数器应用实验报告

XX 大学实验报告 课程名称: 实验项目名称:8254定时/计数器应用实验学院:信息工程学院 专业:通信工程 指导教师: 报告人:学号:班级: 实验时间: 实验报告提交时间:

教务处制

单元的内容外,还可以读出状态寄存器的内容。 (6)计数脉冲可以是有规律的时钟信号,也可以是随机信号。计数初值公式为: n=fCLKi÷fOUTi、其中fCLKi 是输入时钟脉冲的频率,fOUTi 是输出波形的频率。 图(1)是8254 的内部结构框图和引脚图,它是由与CPU 的接口、内部控制电路和三个计数器组成。8254 的工作方式如下述:(1)方式0:计数到0 结束输出正跃变信号方式。 (2)方式1:硬件可重触发单稳方式。 (3)方式2:频率发生器方式。 (4)方式3:方波发生器。 (5)方式4:软件触发选通方式。 (6)方式5:硬件触发选通方式。 图(1)8254的内部借口和引脚8254 的控制字有两个:一个用来设置计数器的工作方式,称为方式控制字;另一个用来设置读回命令,称为读回控制字。这两个控制字共用一个地址,由标识位来区分。控制字格式如表

1所示。 表1 8254的方式控制字 表2 8254 读出控制字格式 表3 8254 状态字格式 8254 实验单元电路图如下图所示:

五、实验步骤及相应操作结果 1. 计数应用实验 编写程序,将8254 的计数器0 设置为方式3,计数值为十进制数4,用单次脉冲KK1+ 作为CLK0 时钟,OUT0 连接MIR7,每当KK1+按动5 次后产生中断请求,在屏幕上显示字符“M”。 实验步骤: (1)实验接线如图2所示。 (2)编写实验程序,经编译、链接无误后装入系统。 (3)运行程序,按动KK1+产生单次脉冲,观察实验现象。(4)改变计数值,验证8254 的计数功能。

实验7 74ls160组成n进制计数器

实验7 74ls160组成n进制计数器 一、实验内容 1.掌握集成计数器的功能测试及应用 2.用异步清零端设计6进制计数器,显示选用数码管完成。 3.用同步置0设计7进制计数器,显示选用数码管完成。 二、演示电路 74LS160十进制计数器连线图如图1所示。 图1 74LS160十进制计数器连线图 74161的功能表 如表1所示。由表1可知,74161具有以 下功能: ①异步清 零 当CR(C L R’)=0时,不管其他输入端的状态如何(包括时钟信号C P),计数器输出将被直接置 零,称为异步清零。

②同步并 行预置数 在CR=1的条件下,当 LD(L O A D’)=0、且有时 钟脉冲C P的上升沿 作用时,D0、D1、D2、D3输入端的数据将 分别被Q0~Q3所接 收。由于这个置数操 作要与C P上升沿同步,且D0、D1、D2、 D3的数据同时置入计 数器,所以称为同步 并行置数。 ③保持 在CR=LD=1的条件 下,当E N T=E N P=0,即 两个计数使能端中有 0时,不管有无C P脉 冲作用,计数器都将 保持原有状态不变 (停止计数)。需要说 明的是,当E N P=0, E N T=1时,进位输出C 也保持不变;而当 E N T=0时,不管E N P 状态如何,进位输出 R C O=0。

④计数 当 CR=LD=E N P=E N T=1时, 74161处于计数状态, 电路从0000状态开 始,连续输入16个计 数脉冲后,电路将从 1111状态返回到0000 状态,R C O端从高电 平跳变至低电平。可 以利用R C O端输出的 高电平或下降沿作为 进位输出信号。 连上十进制加法计数器160,电路如图1所示,给2管脚加矩形波,看数码管显示结果,并记录显示结果。 三、用160和与非门组成6进制加法计数器-用异步清零端设计 74160从0000状 态开始计数,当输入 第6个C P脉冲(上

实验七 计数器

实验七计数器 一.实验目的 1.掌握中规模集成计数器74LS160,161的逻辑功能及使用方法。 2.掌握74LS160计数器的级联方法。 3.学习用中规模集成计数器实现任意进制计数器。 二.实验器材 74LS161 74LS00 74LS160 三.实验原理 1.十进制中规模集成计数器 74LS160为十进制同步计数器,具有计数,预置,保持和清“0”功能。 74LS160如图示: 74LS160的功能见表7.1所示。 (QDQCQBQA)0000→0001→0010→······→1000→1001→0000. 利用74LS160可实现十进制以下进制的计数器,且具有自启动功能,常用的方法有清“0”法和置数法。现以七进制(N=7)为例简介如下: (1)异步清“0”法 清零法适用于设置清零功能的计数器,利用此方法可以实现单片计数器范围内任意N进制计数器。 (2)同步置数法 置数法也称同步置数法,它适用于设置有同步置数功能的计数器电路。利用此方法同样可以实现单片计数器范围内的任意N进制计数器。 单片计数器的计数范围总是有限的。当计数模值超过计数范围时,可用计时器的级联来实现。下图是采用串行进位级联方法设计的百进制计数器。

一片74LS160可实现N≦10的任意进制计数器;两片级联可实现N≦100的任意进制计数器;三片,则N≦1000……. 2.同步十六进制计数器 74LS161为同步十六进制计数器,其外引线图同74LS160,功能表如下。进位输出信号C=QDSQCQBQAET,计数时电路状态(QDQCQBQA)的转换关系为0000→0001→0010→0011→……→1111→0000. 74LS161功能表 1.用74LS161设计模13计数器,并用数码管显示试验结果。 2.设计用3位数码管指示的60进制计数器,并用3之开关控制计数器数据保持,计数 及清0功能。

实验六 同步计数器的设计

实验六同步计数器的设计 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 仪器及器件名称型号数量数字电路实验箱DS99-1A 1 数字万用表DY2106 1 双踪示波器CS-4135 1 器件74LS73X2 2 74LS32X2 1 74LS08X2 2 四、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。 递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 五、实验内容 1.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为: 图4 12进制计数器状态转换图 2.考虑增加一个控制变量D,当D=0时,计数器按内容一方式(顺时针)运行,当D=1时,无论计数器当前处于什么状态,计数器按内容一的反方向(逆时针)运行。本题为附加内容,因接线复杂可用模拟软件测试结果。 六、实验报告 1.写出详细的设计过程。 (1)根据实验要求可以的该特殊十二进制计数器状态转换图。

(2)确定电路所需触发器数目:有效状态为m=12,求所需触发器数目n 。 由2n ≥m=12,可得n=4。 (3)画出次态卡诺图 (4)求出每个触发器的状态方程 (5)求各触发器的驱动方程 根据n n n Q K Q J Q +=+1,得到以下J 、K 的逻辑表达式:

(6)仿真图如下: 显示管显示的顺序符合十二进制的要求 2.画出CP及各输出端的波形图,要画好他们之间的相位关系。 (1)通过状态图画得CLK、Q0、Q1、Q2、Q3的波形图如下: (2)仿真得到波形图如下:

EDA实验报告-实验3计数器电路设计(DOC)

暨南大学本科实验报告专用纸 课程名称EDA实验成绩评定 实验项目名称计数器电路设计指导教师郭江陵 实验项目编号03 实验项目类型验证实验地点B305 学院电气信息学院系专业物联网工程 组号:A6 一、实验前准备 本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。请参考前面第二章中关于“电源模块”的说明。 二、实验目的 1、了解各种进制计数器设计方法 2、了解同步计数器、异步计数器的设计方法 3、通过任意编码计数器体会语言编程设计电路的便利 三、实验原理 时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。输出显示模块用VHDL实现。 四、实验内容 1、用74161构成8位二进制同步计数器(程序为T3-1); 2、用74390构成8位二——十进制异步计数器(程序为T3-2); 3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3): 0,2,5,3,4,6,1 五、实验要求 学习使用Altera内建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。 六、设计框图 首先要熟悉传统数字电路中同步、异步计数器的工作与设计。在MAX+PLUS II中使用内建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计模块结合起来形成完整的计数器电路。并借用前面设计的数码管显示模块显示计数结果。 ◆74161构成8位二进制同步计数器(程序为T3-1)

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

实验7-集成计数器-(实验报告要求)

集成计数器 --实验报告要求 一、实验目的(0.5分) 1.熟悉中规模集成电路计数器的功能及应用。 2.掌握利用中规模集成电路计数器构成任意进制计数器的方法。 3. 掌握计数器的典型应用。 计数器对输入的时钟脉冲进行计数,来一个CP脉冲计数器状态变化一次。根据计数器计数循环长度M,称之为模M计数器(M进制计数器)。通常,计数器状态编码按二进制数的递增或递减规律来编码,对应地称之为加法计数器或减法计数器。 一个计数型触发器就是一位二进制计数器。N个计数型触发器可以构成同步或异步N 位二进制加法或减法计数器。当然,计数器状态编码並非必须按二进制数的规律编码,可以给M进制计数器任意地编排M个二进制码。 在数字集成产品中,通用的计数器是二进制和十进制计数器。按计数长度、有效时钟、控制信号、置位和复位信号的不同有不同的型号。 1.74LS161计数器 74LS161是集成TTL四位二进制加法计数器,其符号和管脚分布分别如下图1所示: 表 1为74LS161的功能表:表1 A B C D

从表1在为低电平时实现异步复位(清零需要时钟信号。在复位端高电平条件下,预置端LD 为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态 等于并行输入预置数A B C D 。在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能;两计数使能端输入禁止信号, ,集成计数器实现状态保持功能, 。在时,进位输出端 OC=1。 2.组成任意进制的计数器 在数字集成电路中有许多型号的计数器产品,可以用这些数字集成电路来实现所需要的计数功能和时序逻辑功能。在设计时序逻辑电路时有两种方法,一种为反馈清零法,另一种为反馈置数法。 (1)反馈清零法 反馈清零法是利用反馈电路产生一个给集成计数器的复位信号,使计数器各输出端为零(清零)。反馈电路一般是组合逻辑电路,计数器输出部分或全部作为其输入,在计数器一定的输出状态下即时产生复位信号,使计数电路同步或异步地复位。反馈清零法的逻辑框图见图 2。 图2 反馈清零法框图 (2)反馈置数法 反馈置数法将反馈逻辑电路产生的信号送到计数电路的置位端,在滿足条件时,计数电路输出状态为给定的二进制码。反馈置数法的逻辑框图如图 3所示。 图 3 反馈清零法框图 在时序电路设计中,以上两种方法有时可以并用。 Q 0 n-10

计数器实验报告

实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5-9-1 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U—加计数端 CP D—减计数端 CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3—计数器输入端 Q0、Q1、Q2、Q3—数据输出端 CR—清除端

CC40192的功能如表5-9-1,说明如下: 表5-9-1 输 入 输 出 CR LD CP U CP D D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 1 × × × × × × × 0 0 0 0 0 0 × × d c b a d c b a 0 1 ↑ 1 × × × × 加 计 数 0 1 1 ↑ × × × × 减 计 数 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。 当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。 表5-9-2 加法计数 输入脉冲数 0 1 2 3 4 5 6 7 8 9 输出 Q 3 0 0 0 0 0 0 0 0 1 1 Q 2 1 1 1 1 Q 1 0 0 1 1 0 0 1 1 0 0 Q 0 1 0 1 1 1 1 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位输出CO 控制高一位的CP U 端构成的加数级联图。

相关文档
最新文档