8路抢答器基于fpga

8路抢答器基于fpga
8路抢答器基于fpga

基于FPGA的多路数字抢答器的设计

摘要:本文主要介绍了以FPGA为基础的八路数字抢答器的设计,首先对各模块的功能进行分配,此次设计主要有七个模块,依次为分频模块、抢答模块、加减分模块、倒计时模块、设置倒计时模块、蜂鸣器模块和数字显示模块。主持人按下开始键可以实现抢答开始,选手号的显示,加减分模块,积分的显示,积分的重置,并启动倒计时模块;若有选手犯规或者倒计时记到五秒,停止倒计时,开启蜂鸣器,并为进入加减分模块做准备。此次设计程序用Quartus II12.0为软件开发平台,用Verilog语言来编写,使用模块化编程思想,自上向下,通过寄存器变量来控制各个模块的运行。本次设计采用FPGA来增强时序的灵活性,由于FPGA的I/O端口资源丰富,可以在此基础上稍加修改可以增加很多其他功能的抢答器,因此后期可塑性很强,因为核心是FPGA芯片,外围电路比较简单,可靠性强、运算速度高,因此便于维护,并且维护费用低。

关键词:FPGA、抢答器、倒计时、犯规报警、加减分、显示

目录

第一章绪论................. . (2)

第二章 FPGA原理及相关开发工具软件的介绍 (3)

2.1 FPGA的简介..... . (3)

2.1.1 FPGA的发展与趋势......... .. (3)

2.1.2 FPGA的工作原理及基本特点 (4)

2.1.3 FPGA的开发流程 (5)

2.1.4 FPGA的配置... . (5)

2.2 软件介绍............... .. (6)

2.2.1 Verilog HDL的介绍........... .. (6)

2.2.2 Quartus II软件.................... .. (7)

第三章数字抢答器系统设计方案和主要模块 (8)

3.1 功能描述及设计架构...... . (8)

3.2 抢答器程序流程图以及各模块代码分析 (10)

3.2.1 抢答器程序结构及主程序流程图 (10)

3.2.2 秒分频模块 (15)

3.2.3 倒计时以及倒计时剩5S时报警模块 .......... 错误!未定义书签。

3.2.4 倒计时显示及倒数计时设置显示模块 (20)

3.2.5 选手号显示及违规报警模块 (26)

3.2.6倒计时设置模块 (30)

3.2.7顶层模块 (35)

3.3 硬件电路 (37)

3.3.1 按键电路图 (38)

3.3.2 数码管显示电路图 (38)

3.3.2 蜂鸣器电路图 (39)

第四章管脚分配及功能 (40)

第五章总结 (41)

参考文献 (418)

第一章绪论

1.1 课题研究背景

随着社会的发展,各种竞赛比赛日益增多,抢答器以它的方便快捷、直观反映首先取得发言权的选手等优点,深受比赛各方的辛睐,市场前景一片大好。另一方面随着电子科技的发展,抢答器的功能以及实现方式也越来越多,产品的可靠性以及准确性也越来越强。能够实现多路抢答器功能的方式有很多种,主要包括前期的数字电路、模拟电路以及数字电路与模拟电路组合的方式,但是这种方

式制作过程比较复杂,并且可靠性准确性不高,研发周期也比较长。

目前对于抢答器的功能描述,如涵盖抢答器、选手答题计时、限时抢答以及犯规组号抢答器具有抢答自锁,暂停复位、电子音乐报声、灯光指示、自动定时等功能,还有工作模式的切换和时间设定,对于这些随着科学技术的发展,肯定还要得到进一步的改进。发展趋势一般都要趋向于智能化,并且设计更加合理化。

1.2 研究内容及意义

通过抢答器的使用,可以在各类比赛中特别是抢答环节,直观明了的看出是哪一组抢到了题目,比起通过肉眼来判断,更加的精确,同时也少了不必要的纷争,使得比赛更加的公平、公开、公正。

抢答器的这些优点使得它在比赛中得以广泛的应用。抢答器经过发展从最初的只有几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码;到现在使用高速处理芯片和集成数字电路;从起初单片机到现在的ARM 和FPGA,技术手段进一步成熟,同时技术的发展也为抢答器增加了很多更加贴近比赛的新功能,如选手号码显示、倒计时、选手得分显示等等。这类抢答器制作并不复杂,但是准确度和可靠性都比较可观,并且易于安装和维护。

第二章 FPGA原理及相关开发工具软件的介绍2.1 FPGA的简介

2.1.1 FPGA的发展与趋势

现场可编程门阵列FPGA(Field-Programmable Gate Array),它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或

者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA 内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。

FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。

2.1.2 FPGA的工作原理及基本特点

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA 进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM 编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片

FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

2.1.3 FPGA的开发流程

FPGA开发流程可以分为如下几步:

①设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是Verilog、VHDL的源程序(此次设计主要是使用Verilog)。它是利用这些输入去描述一个电路的功能。

②功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能仿真,也就是对你的输入设计的逻辑功能进行相关的模拟测试。从功能上来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。

③综合,综合就是行为或者功能层次表达的电子系统转换成低层次门级电路的网表。

④布局布线,就是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。此时应该使用FPGA厂商提供的实现与布局布线工具,根据所选芯片的型号,进行芯片内部功能单元的实际连接与映射。

⑤时序验证,就是要使得时序仿真过程中,建立与保持时间要符合相关的制约,以便数据能被正确的传输。使仿真既包含门延时,又包含线延时信息。能较好地反映芯片的实际工作情况。

⑥生成SOF等文件,此文件可以通过调试器把它下载到系统中间去。而FPGA 设计流程的其他步骤基本上由相关工具去完成,因此只要自己设置好相关参数,不要人为干预太多。而验证的话就需要用户花费大量的时间去完成。

2.1.4 FPGA的配置

FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。如何实现快速的时序收敛、降低功耗和成本、优化时钟管理并降低FPGA与PCB并行设计的

复杂性等问题,一直是采用FPGA的系统设计工程师需要考虑的关键问题。

如今,随着FPGA向更高密度、更大容量、更低功耗和集成更多IP的方向发展,系统设计工程师在从这些优异性能获益的同时,不得不面对由于FPGA前所未有的性能和能力水平而带来的新的设计挑战。例如,领先FPGA厂商Xilinx 最近推出的Virtex-5系列采用65nm工艺,可提供高达33万个逻辑单元、1,200个I/O和大量硬IP块。超大容量和密度使复杂的布线变得更加不可预测,由此带来更严重的时序收敛问题。此外,针对不同应用而集成的更多数量的逻辑功能、DSP、嵌入式处理和接口模块,也让时钟管理和电压分配问题变得更加困难。幸运地是,FPGA厂商、EDA工具供应商正在通力合作解决65nm FPGA独特的设计挑战。

不久以前,Synplicity与Xilinx宣布成立超大容量时序收敛联合工作小组,旨在最大程度地帮助系统设计工程师以更快、更高效的方式应用65nm FPGA器件。设计软件供应商Magma推出的综合工具Blast FPGA能帮助建立优化的布局,加快时序的收敛。最近FPGA的配置方式已经多元化!

FPGA主要生产厂商:

1、Altera

2、Xilinx

3、Actel

4、Lattice

其中Altera和Xilinx主要生产一般用途FPGA,其主要产品采用RAM工艺。Actel主要提供非易失性FPGA,产品主要基于反熔丝工艺和FLASH工艺。

2.2 软件介绍

2.2.1 Verilog HDL的介绍

Verilog HDL是在1983年有GDA(GateWay Design Automation)公司首创的一种硬件描述语言,用于数字电子系统设计。该语言可以让设计者进行各种级别的逻辑设计,进行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是目前应用最广泛的一种硬件语言。

Verilog HDL作为一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。

Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。

2.2.2 Quartus II软件

此次设计所使用的软件是Quartus II12.0,使用语言为verilog HDL。

Quartus II是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供应商之一。Quartus II在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plus II的更新换代产品,其界面友好,使用便捷。在Quartus II上可以完成设计输入、HDL综合、布线布局(适配)、仿真和下载和硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

Altera的Quartus II 提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。Quartus II设计工具完全支持VHDL、Verylog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。Quartus II也可以利用第三方的综合工具,如Leonardo Spectrum、Synplify Pro、FPGA Complier II,并能直接调用这些工具。同样,Quartus II具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。此外,Quartus II与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具。

Quartus II包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis & Synthesis)、适配器(Filter)、装配器(Assembler)、时序分析

器(Timing Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)和编辑数据接口(Complier Database Interface)等。可以通过选择Start Complication来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Complier Tool(Tools 菜单),在Complier Tool 窗口中运行该模块来启动编辑器模块。在Complier Tool 窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。

图七中所示的上排是Quartus II编译设计主控界面,它显示了Quartus II 自动设计的各主要处理环节和设计流程,包括设计输入编辑、设计分析与综合、适配、编程文件汇编(装配)、时序参数提取以及编程下载几个步骤。在图七下排的流程框图,是与上面的Quartus II设计流程相对照的标准的EDA开发流程。

图2.1:Quartus II设计流程

第三章数字抢答器系统设计方案和主要模块

3.1 功能描述及设计架构

此次设计设计了一个基于FPGA芯片的数字抢答器:本抢答器有十三个按键以及主频时钟作为输入端,其中八个输入端为八个选手的抢答按键,剩下五个按键分别为主持人开始按键、主复位按键、倒计时复位按键、显示切换按键、倒计时设置按键。有三个数码管进行显示,其中一个显示抢答者组号,另外两个个用来显示抢答剩余时间和显示抢答时间的设置,因为要复用这两个数码管,所以要用按键做一个显示切换。用蜂鸣器来提示是否有人犯规抢答,抢到题目时选手号

用数码管显示该选手号,蜂鸣器也用作时间剩余五秒时的提示。开始抢答时,主持人宣布抢答开始,并按下开始抢答按键,各选手开始抢答,其中任意一组抢到题目,则电路进行自锁,其它各组再按按键即为无效,抢到题目后蜂鸣器响,作答结束后依据回答答案是否正确有主持人选择进入加减分模块,每个选手初始分数为五分,答对一道加一分,错一道减一分,不抢答则分数不加不扣。

图3.1 抢答器功能示意图

抢答器的具体功能如下:

1.抢答开始按键sk,此按键有主此人操控,在主持人宣布抢答开始后,

按下此按键,各选手方可开始进行抢答,并显示相应选手号和回答剩余时间,当抢答时间剩余5s,给予响铃提示;

2.如果主持人没有按下开始键而选手抢答,则视为犯规,并显示违规选

手号和错误码,给予响声提示;

3. 主持人按结束键,可进行新一轮的抢答;

4. 抢答时间结束且无人抢答时,执行相应操作;

5. 可通过按键设置抢答时间和回答时间,抢答器具备限时抢答功能,

限时时间可以自行设置为0-99秒。

6.当主持人对分数进行加减完毕之后,在对应的数码管上显示抢答者的

分数,然后进入下一轮抢答。(该模块是创新模块)。

此次设计以FPGA为基础设计数字抢答器,根据主要的功能设计要求,

该设计主要包括抢答输入按键、数码管显示、加减分、倒计时和FPGA系统。

抢答器的结构示意图如下:

图3.2:抢答器结构示意图

设计中FPGA最小系统电路为FPGA可以正常工作时的基本电路,由时钟和复位电路组成(此次设计主控电路采用开发板的电路,只做了外围电路)。按键输入电路有十三个按键组成,选手号数码显示管有一个八段共阳极数码管组成。倒计时显示电路两个八段共阳共阳极数码管组成组成。

3.2 抢答器程序流程图以及各模块分析

3.2.1 抢答器程序结构及主程序流程图

本次设计中程序设计采用verilog HDL 语言进行编程,总体编程思路采用模块化编程方式,主要分为四个模块,一个主控制及按键输入模块,一个倒计时模块和蜂鸣器模块,一个抢答组号及积分显示模块,分别对这四个子模块进行独立编程设计,由于verilog HDL 语言是一种并行运行的语言,所以可以在同一个频率时钟脉冲下进行各模块的编写,最终在把各个模块整合在一起,所以FPGA 的高速性能体现的尤为明显。

3.2.2整体电路框图如下

图3.3:主程序流程图

分频产生1s时钟模块

设计思路:由主频时钟clk1(主频时钟为50MHZ),通过分频产生1HZ的时钟,这个时钟主要是供秒计时显示用,即用于倒数计时显示模块

综合后的端口配置图

倒计时以及倒计时剩5S时报警模块

设计思路:主要是做一个简单的倒计时算法,倒计时的时间由倒计时设置模块给出,倒计时设置模块把设置后的时间用寄存器hour1存起来,最后赋给倒计时的hour。其次是做一个剩余五秒的报警,即当剩余五秒时,用一个if语句做判断,随后实现报警提示

综合后的端口配置图

倒计时显示及倒数计时设置显示模块

设计思路:用于倒计时显示模块及倒数计时设置模块显示。这个模块要使硬件复用,即两个数码管既要显示倒计时的时间也要显示倒计时的设置时间。在此,用按键kqh做了一个显示的切换,用if---else实现。未按下切换键则用于倒计时的正常显示,若按下切换键则用于倒计时的设置显示。

综合后的端口配置图

选手号显示及违规报警模块

设计思路:显示选手号码以及选手违规时报警。这里也是要做一个显示的切换,用if----else实现。即按下开始键sk是正常的,此时正常显示,否则切换到违规时的显示并且报警

综合后的端口配置图

倒计时设置模块

功能介绍:用if----else语句做一个简单的倒计时算法,可设置的时间范围是0-99s。将算得的结果用hour1存起来,供倒数计时模块的调用,最后将hour1的值赋给hour。另外需要注意的是,将倒计时设置的时钟调得小一些,便于更快速度的调好时间。

综合后的端口配置图

顶层模块

功能介绍:顶层模块主要是端口的定义以及子模块的调用。这里用了一个ALWAYS 语句调用蜂鸣器,因为蜂鸣器有两个功能,分别是违规报警和剩余时间报警,所以在此用if语句做判断,实现蜂鸣器的两个不同功能。

3.3硬件电路图

主控芯片是采用的是ALTERA公司的cyclone IV 型号为EP4CE30F23C7N。

FPGA的主控电路由于资源问题,直接用学生本人的开发板。以下是一些

简单的是外设驱动电路,过于简单所以就不多作介绍,仅将电路图给出3.3.1按键

3.3.2数码管显示

3.3.3蜂鸣器

第四章

表:FPGA开发板对应管脚号及功能表

第五章总结

经过这一段时间的努力,终于完成了以FPGA为基础的多路数字抢答器的设

计。

通过此次设计又一次的巩固了Verilog语言,提升了自己编写代码的能力,在程序的仿真过程中也进一步的学会了很多编程方面的知识,当然以现在自己的水准编写出来的东西功能还比较简单,有时候还不得不花费很长的时间来寻找错误,不过经过这一段时间的努力真的已经有了很大的进步。总体来说,编写整个程序问题不大,但是调试的的时候出现了较多问题。但是通过自己在网上查资料,用一些常用的赋值法,最终把一个完整的程序调试出来。

此次课程设计收获最大的莫过于学会了很多调试程序的方法。在此次课程设计中,我学会了很多收获了很多。

参考文献:

[1] 夏宇闻.Verilog数字系统设计教程(第二版)[M].北京航空航天大学出版社,2008.

[2] 康华光.电子技术基础数字部分(第四版)[M].高等教育出版社,2006.

[3] 康华光.电子技术基础模拟部分(第四版)[M].高等教育出版社,2006.

[4] 郭来功.基于FPGA的串行接口时钟电路的设计[J].现代电子技术,2007,(18):42-43.

[5] 李端张景颖李跃卿卜旭辉王成硕. VHDL与数字电路设计[J].电气开关2005(02).

[6] 刘开绪.数字式抢答器的设计与实现[J].电子工程师.2005(9)69-71.

[7]王传新.FPGA设计基础[M].高等教育出版社,2007.

[8]程云长王莉莉陈立力.可编程逻辑器件与VHDL语言[M].科学出版社,2005.

[9]李洪伟袁斯华.基于QuartusⅡ的FPGA/CPLD设计[M].电子工业出版社,2006.

[10]张洪润张亚凡.FPGA/CPLD应用设计200例[M].北京航空航天大学出版社,2009

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

八路抢答器课程设计

课程设计报告 课程名称:电子技术课程设计 设计题目:八路抢答器 专业: 班级:学号: 学生姓名: 时间: 2014年 10 月 27 日~ 11 月 12 日 ―――――――以下指导教师填写――――― 分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能,采用74LS121单稳态芯片来实现报警信号的输出。 通过课程设计提高和巩固了所学的专业知识,以及知识的综合应用和焊接技术。 关键词: 抢答器编码译码定时报警

进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天的趋于完善不但可以用来倒计时抢答,还兼具报警,计分显示等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求是酒无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样话,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了八路智力竞赛抢答器的设计。

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

基于单片机八路抢答器设计开题报告

廊坊师范学院本科学生毕业论文(设计)任务书 论文(设计)题目基于单片机八路抢答器设计 物理与电子信息学院电子信息与工程系(部)电气自动化专业2007 年级 学生姓名指导教师姓名下发日期2010 年 1 月3 日 任务起止日期:2011 年 1 月3 日至2011年 5 月20 日1.指导教师对论文(设计)内容的指导要求: 设计一个八路抢答器 (1)设计除硬件电路; (2)设计出软件编程方法,并写出源代码; (3)用PROTEUS进行仿真; (4)论文格式用符合学院的统一规定,结构要符合逻辑,表达 2.论文(设计)前期准备要求(涉及论文(设计)内容的研究综述、实验方案设计等):以单片机为核心,设计一个8位竞赛抢答器。 (1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0-S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 (4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30s等)。当主持人启动“开始”按键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续时间为0.5s左右。 (5)参赛选手在设定时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手编号和抢答时间,并保持到主持人将系统清除为止。 (6)如果定时时间到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00 3.指导教师提出的主要参考文献: [1] 张友德. 《单片机原理及应用》. 机械工业出版社 2000年. [2] 张光飞. 《单片机课程设计实例指导)》. 北京航天航空大学出版社 2003年. [3] 雷伏蓉. 《51单片机常用模块设计查询手册》清华大学出版社 2005年. [4] 张俊谟. 《单片机初级教程》. 北京航天航空大学出版社 2000年. [5] 何宗彬《8位单片机开发技术与规范》机械工业出版社 1999年 [6] 杨居民《单片机课程设计指导》清华大学出版社 2000年 [7] 何立民《单片机应用系统设计》北京航天航空大学出版社 2000年 4.论文(设计)进度安排(阶段任务、起止时间等): 2011年2月25日完成选题 2011年2月25日——2011年3月10日搜集资料 2011年3月10日——2011年3月15日开题论证 2011年3月15日——2011年4月20日完成初稿 2011年4月21日——2011年5月20日完成终稿

8路抢答器设计

华侨大学厦门工学院数字逻辑系统设计课程设计报告 题目:8路抢答器 专业、班级: 分数: 2012年5月30日

任务书 一、任务 设计一个8路抢答器,在控制开关(控制系统的清零和抢答的开始)作用下,抢答选手在开始信号作用后在规定的时间内开始抢答,锁定并显示优先抢答选手的编号同时给出音响的提示。抢答选手确定后,封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到控制信号将系统清零为止。 二、设计要求 (1)设计一个可供8名选手参加比赛的8路数字显示抢答器。他们的编号为12345678,各用一个抢答按钮(开关),编号与参赛者的号码一一对应。 (2)设置一个系统清除和抢答控制开关R,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出提示。先抢答选手的编号一直保持到主持人将系统清除为止。 目录 一、课题研究的相关背景、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、2 二、选题的目的、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、2 三、电路总体框图和优缺点 (3) 1、电路总体框图 (3) 2、优点 (3) 3、缺点 (3) 四、电路设计 (3) 1、完整电路图 (4) 2、实物图、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、4 3、数码显示 (5) 4、CC4511介绍 (6) 5、555芯片介绍 (7) 五、制作及调试过程 (8) 1、安装 (9)

利用74LS175制作的八路抢答器资料

利用74L S175制作的八路抢答器

电子课程设计报告题目名称:八路抢答器设计 姓名: 专业: 班级学号: 同组人: 指导教师: 南昌航空大学计算机学院 2008年 06 月 26 日仅供学习与交流,如有侵权请联系网站删除谢谢2

摘要 在市场上可能有很多的八路数显智力竞赛抢答器,但是本论文将提供一种新的八路数显智力竞赛抢答器设计方案,设置复位标志位便于区分不同原因引发的复位,作为一种新技术被越来越多的新型单片机所采纳。但本论文中的八路数显智力竞赛抢答器只是利用到最基本的复位方式。 经过考虑我们选择了74LS175芯片做八路抢答器。它的俗名是4D触发器。选择它是因为它具有D触发器的性质,有存储功能。本设计主要考虑了该芯片经CP脉冲,在不同的情况下对它有维持阻塞作用。在设计方案中。要设计八路抢答器,我们就选择了两个784LS175的芯片,因为每个芯片有四路。本抢答器拥有复位清零作用。并且有数码管显示选手的号码。方便。 关键字:I.抢答器…II.维持阻塞…III.存储功能,IV优先编码。 仅供学习与交流,如有侵权请联系网站删除谢谢3

目录 摘要.................................................... (2) . 前言…………………………………………………………………….... .4 第一章抢答器的概述 (5) 1.1 设计要求 (5) 1.2抢答器的用途及要求 (5) 第二章电路设计原理及单元模块 (5) 2.1 74LS175的功能表内部结构及管脚图 (5) 2.2完成抢答器的置位及指示电路及其原理 (7) 2.3阻塞电路及其原理 (9) 2.4时钟脉冲的控制及其原 理 (11) 2.5电路设计总原理图 (12) 第三章安装与调试 (13) 3.1电路的安装调试 (13) 3.2电路的测试 (13) 第四章实验结论 (14) 参考文献 (15) 附录………………………………………………………………….…. .15 仅供学习与交流,如有侵权请联系网站删除谢谢4

基于51单片机八路抢答器的设计大学论文

毕业设计(论文) 题 目: 基于51单片机的抢答器系统设计 函授站点: 中国矿业大学继续教育学院 学习层次: 专科 班级名称: 徐工技师学院 函机电2015班 姓名: 学号: 中国矿业大学继续教育学院 20 年 月 日

摘要 随着科学技术的发展和普及,各种各样的竞赛越来越多,其中抢答器的作用也就显而易见。目前很多抢答器基本上采用小规模数字集成电路设计,使用起来不够理想。因此设计一更易于使用和区分度高的抢答器成了非常迫切的任务。现在单片机已进入各个领域,以其功耗小、智能化而著称,所以若利用单片机来设计抢答器,便使以上问题得以解决.针对以上情况,本文设计出以STC89C52RC单片机为核心的八路抢答器。我们采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过LED数码管显示相应的路数,即使两组的抢答时间相差几微秒,也可分辨出是哪组优先按下的按键,它充分利用了单片机系统的优点,具有结构简单、功能强大、可靠性好、实用性强的特点。 本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为犯规;满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 关键词:STC89C52RC;共阴数码管;按键;蜂鸣器

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

8路抢答器开题报告.doc

楚雄师范学院 本科毕业论文(设计)开题报告书题目简易8路抢答器的设计姓名阮文武 学号20081042130 系(院)物理与电子科学系 专业电子信息科学与技术指导教师舒鑫柱 2011年12 月25 日

课题的主要内容: 一、8路抢答器功能简介 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本文介绍了一种用74系列常用集成电路及常用芯片设计的数码显示八路抢答器的电路组成、设计思路及功能。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的发光二极管,提示主持人本轮抢答无效,实现报警功能。 二、设计要求及内容 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光或音响等多种手段指示出第一抢答者。 (1)设计制作一个可容纳8组参赛的数字式抢答器,每组设置一个抢答按钮供抢答者使用。 (2)电路具有第一抢答信号的鉴别和锁存功能。在主持人系统发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别,同时指示灯(发光二极管)亮。此时,电路应具备自锁存功能,使别组的抢答开关不起作用。 (3)若超时仍无人抢答,则报警指示灯熄灭。 三、设计及原理 1、总体方案设计 (1)设计原理 ①本题的根本目的在于准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用锁存器实现。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。但是,第一抢答信号应该在主持人发出抢答命令之后才有效。 ②当电路形成第一抢答信号之后,用编码、译码及数码显示电路显示出抢答者的序号,也可以用发光二极管直接指示出序号。 ③在主持人没有按下开始抢答按钮前,参赛者的抢答开关无效;当主持人按下开始抢答按钮后,开始进行30秒倒计时,此时,若有选手抢答,显示该组别并使抢答指示灯亮表示“已有人抢答”;当计时时间到,仍无选手抢答,则计时指示灯灭表示“时间已到”,主持人清零后开始新一轮抢答。 2、原理框图

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

八路抢答器课程设计报告

目录 一、摘要 (1) 二、设计目的 (1) 三、设计任务及要求 (1) 1.设计要求 (1) 2.设计任务 (2) 四、八路抢答器电路的设计及原理 (2) 1.设计思路 (2) 2.总电路框图 (3) 3.各模块设计方案及原理说明 (3) 3.1抢答电路 (3) 3.230秒倒计时电路 (10) 3.3报警电路 (17) 五、抢答器的总电路 (23) 六、设计心得 (24) 附录 附录1 元件明细表 (26) 附录2 元件报价表 (27) 参考文献 (28) 完整电路示图 (29)

8路抢答器 一、摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中。例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim10完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 二、设计目的 本电子设计,主要为了实现以下目的: 1.增强对数字电子技术的了解与掌握; 2.学习相关软件的使用方法; 3.熟悉优先编码器、触发器、计数器、译码电路等的应用方法; 4.熟悉时序电路的设计方法; 5.具备简单电路的设计能力。 三、设计的任务及要求 1.设计一抢答器,设计要求如下: 1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,其对应的灯被

八路抢答器毕业论文设计

八路抢答器毕业论文设计 Revised by BLUE on the afternoon of December 12,2020.

青岛理工大学 毕业论文 题目:抢答器设计 学生姓名: ** 学生学号: ******** 院系名称:机电工程系 专业班级: *************** 指导教师: ***** 2016年 6 月 15 日

摘要 随着科学技术的发展和普及,各种各样的竞赛越来越多,其中抢答器的作用也越来越重要。本文设计出以AT89S51单片机为核心的八路抢答器,采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过LED数码管显示相应的路数,即使两组的抢答时间相差几微秒,也可分辨出是哪组优先按下的按键,充分利用了单片机系统结构简单、功能强大、可靠性好、实用性强的特点。 本设计是以抢答为出发点。考虑到依需设定限时回答的功能,利用89S51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 关键词:抢答器,单片机,LED数码显示管,定时器/计数器,扬声器

ABSTRACT With the development of science and technology and the popularization of all kinds of competition more and more, which answer is more and more important. Paper design out to AT89S51 single tablets machine for core of eight answer device, used has digital display directly indicates, automatically lock save displayed results, and automatically reset of design thought, it can according to different of answer entered signal, after single tablets machine of control processing and produced different of and entered signal relative should of output signal, last through LED digital tube displayed corresponding of number, even two group of answer time difference several microseconds, also can tell out is which group priority by Xia of press, full using has single tablets machine system structure simple , Powerful, reliable and practical features. This design is to answer as a starting point. Taking into account the functions according to the set time limit to answer, using 89S51 microcontroller and peripheral interface implementations of the VIES system, using the principles of timing and counting timer/counter, combining software and hardware, and allows the system to correct timing, while allowing digital to display the time correctly. Switches the keyboard output, speaker tip. While the system can achieve: answer, only after the start of contest is only valid, if VIES to answer before you begin to be invalid; full systems after time automatic reset and master force reduction; key lock in the effective State, key is invalid illegal. Key Words: responder , single chip microcomputer , LED digital display , timer/counter speakers

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

相关文档
最新文档