DDR 1&2&3 的“读”和“写”眼图分析

DDR 1&2&3 的“读”和“写”眼图分析
DDR 1&2&3 的“读”和“写”眼图分析

DDR 1&2&3的“读”和“写”眼图分析

安捷伦科技有限公司孙灯亮

【关键字】DDR,读眼图,写眼图,模板,示波器

【摘要】现在不论做主板设计或测试的工程师,还是做内存或DDR芯片设计或测试的工程师都会面临这样一个问题:如何能够分离出“读”和“写”眼图以发现有无信号品质问题?因为简单测试一段波形很难确定你的设计或产品是否满足规范要求。而因为DDR的数据总线信号存在三态,“读”时序和“写”时序不同的情况,我们很难直接用示波器把“读”眼图和“写”眼图分离出来。本文根据自己设计的DDR“读”“写”分离软件,介绍一种把“读”眼图和“写”眼图分离开的方法,并创新地引入模板测试的方法。

【Key Words】DDR,read eye diagram,write eye diagram,DDR mask,Oscilloscope 【Abstract】When designing or testing motherboard, DIMM or DDR DRAM, design or test engineer will meet a challenge that how to separate read eye diagram and write eye diagram from DDR data bus. It is difficult to find signal integrity issues if only acquire and analysis several waveforms. There are tri-state, read burst and write burst in DDR data bus, and the timing is different between read burst and write burst. So it is impossible to get clear eye diagram directly. This article introduces an innovated method to separate read eye diagram and write eye diagram, and introduces an innovated method about how to define mask for read eye diagram and write eye diagram.

DDR 1&2&3总线概览

DDR全名为Double Data Rate SDRAM ,简称为DDR。现在DDR技术已经发展到了DDR 3,理论上速度可以支持到1600MT/s。DDR总线走线数量多,速度快,操作复杂,探测困难,给测试和分析带来了巨大的挑战。

DDR 本质上不需要提高时钟频率就能加倍提高SDRAM的速度,它允许在时钟的上升沿和下降沿读出数据,因而其速度是标准SDRAM的两倍。至于地址与控制信号则与传统SDRAM 相同,仍在时钟上升沿进行数据判断。

目前,许多计算机使用时钟频率为533MHz的DDR2内存,更先进的DDR2内存正在日益普及,它的时钟频率在400 MHz-800 MHz之间,新的DDR3内存的时钟频率则可以工作在800MHz-16OOMHz 之间。DDR3内存芯片还有另外一个长处:更低的能耗,它的运行电压是1.5伏,低于DDR2内存芯片的1.8伏和DDR1内存芯片的2.5伏。在使用电池的设备中能够延长电池续航时间,因为能耗低,产生的热量也就少,从而对冷却的要求也就低一些。

DDR 2&3几个新增特性的含义是:ODT( On Die Termination),DDR1 匹配放在主板上,DDR2&3把匹配直接设计到DRAM芯片内部,用来改善信号品质。OCD(Off Chip Driver)是加强上下拉驱动的控制功能,通过减小DQS与/DQS(DQS是数据Strobe,源同步时钟,数据的1和0由DQS作为时钟来判断) Skew(时滞)来增加信号的时序容限(Timing Margin)。Posted CAS是提高总线利用率的一种方法。AL(Additive Latency)技术是相对于外部CAS,内部CAS执行一定的延时。

图1:DDR总线的体系结构

图1是DDR总线的体系结构。其中DQS是源同步时钟,在接收端使用DQS来读出相应的数据DQ,上升沿和下降沿都有效。DDR1总线,DQS是单端信号,而DDR2&3, DQS则是差分信号。DQS和DQ都是三态信号,在PCB走线上双向传输,读操作时,DQS信号的边沿在时序上与DQ的信号边沿处对齐,而写操作时,DQS信号的边沿在时序上与DQ信号的中心处对齐,参考图2,这就给测试验证带来了巨大的挑战:把“读”信号与“写”信号分开是非常困难的!

图2:DDR总线“读”“写”操作时序

图2中,Addr/Cmd Bus意思是地址/命令总线,都是时钟的上升沿有效,其中命令由:/CS(片选),/RAS, /CAS,/WE(写使能)决定,比如:“读”命令为:LHLH,“写”命令为:LHLL等。操作命令很多,主要是NOP(空超作),Active(激活),Write,Read,Precharge (Bank关闭),Auto Refresh或Self Refresh(自动刷新或自刷新)等(细节请参考:Jedec规范JESD79)。Data Bus是数据总线,由DQS的上升沿和下降沿判断数据DQ的0与1。

DDR 1&2&3总线的信号完整性测试

DDR总线PCB走线多,速度快,操作时序复杂,很容易出现失效问题,为此我们经常用示波器进行DDR总线的信号完整性测试和分析,通常的测试内容包括:

1,命令信号的波形和时序参数:需要测试/RAS,/CAS,/WE,/CS的信号品质,如Vmax (最大电压值),Vmin(最小电压值),Slew Rate(斜率),Ringback(回沟)等;还需要测试相对

于时钟边沿的Setup Time(建立时间)和Hold Time(保持时间)。测试相对于时钟边沿的建立

时间和保持时间时,需要注意参考电平的位置和最差情况波形的捕获。如图3的/CS建立时间

和保持时间的测试就没有测试出最差的建立时间和保持时间值,为此,我们需要用眼图累积的

方式设法找到最差情况下的建立时间和保持时间。

图3:/CS信号的建立时间和保持时间测试

2,地址信号的波形和时序参数:测试内容和测试方法类似于命令信号的测试,包括信号品

质和相对于时钟边沿的建立时间和保持时间的测试。

3,时钟信号的波形和抖动参数:测试建议用差分探头。波形参数包括overshoot(过冲),undershoot(下冲),Slew Rate(斜率)或Rise Time(上升时间)和Fall Time(下降时间),高

低时间和Duty Cycle(占空比失真)等。时钟抖动一般只是测试Cycle-Cycle Jitter(周期到周期

抖动),但是当速率超过533MT/s的DDR2&3时,则测试内容相当多,不可忽略,下表1是DDR2 667的规范参数。这些抖动参数的测试需要用专用软件实现,比如Agilent的N5413A DDR2

时钟表征工具。

Symbol Parameter JEDEC DDR2_667Proposed Errata Units

tCLK(avg) Average Clock Period 3000 3000 ps

tJIT(per) Clock Period Jitter -125 -290 ps

clock period jitter -250 -470 ps tJIT(cc) Cycle-to-cycle

tERR(2per) Cumulative error across 2 cycles -175 -350 ps

tERR(3per) Cumulative error across 3 cycles -225 -450 ps

tERR(4per) Cumulative error across 4 cycles -250 -545 ps

tERR(5per) Cumulative error across 5 cycles -250 -600 ps

tJIT Duty cycle jitter 125 150

tCH(avg) Average high pulse width 48 / 52 48 /52 % of tCK(avg) tCL(avg) Average low pulse width 48/52 48/52 % of tCK(avg)表1:DDR 2 667 时钟抖动测试参数(参考Jedec规范)

4,速据信号的波形和时序参数:DQS(源同步时钟)和DQ(数据)波形参数与命令地址

测试类似,测试起来比较简单,但是探测却比较复杂和困难。DDR1,DQS是单端信号,可以

用单端探头测试,DDR2&3 DQS则是差分信号,建议用差分探头测试,减小探测难度。DQS

和DQ的时序关系,即相对的在不同操作条件下的建立时间和保持时间关系则非常复杂,分析比较困难,图4是实际捕获的DDR2 533 DQS和DQ的波形。从图中可以看出DQS和DQ的三态(Tri-state)特征,读数据(Read Burst)和写数据(Write Burst)的DQS和DQ的相对时序特征。在我们测试时,只是捕获了这样的波形,然后测试出在“读”“写”操作时的建立时间和保持时间参数是不够的,因为数据码型是变化的,猝发长度也是变化的,只测了几个时序参数很难覆盖各种情况,更难测出最差情况。很多工程师花了一周去测试DDR,仍然测不出问题的关键点就在此处。因此我们应该用眼图的方式去测试DDR的读写时序,确保反映整体时序情况和捕获最差情况下的波形,最好能够套用串行数据的分析方法,调用模板帮助判断。

图4:实际捕获的DDR2 533 DQS和DQ的波形

DDR 1&2&3 “读”“写”眼图测试

基于DDR“读”“写”信号相位不同的特征,本人设计了一个软件进行“读”“写”眼图的测试分析,软件使用VEE Pro 7.5设计界面,使用VEE Pro内嵌的Matlab脚本分析数据,基于规范的AC参数设计模板,帮助工程师进行DDR信号完整性测试分析的最复杂部分即“读”“写”数据眼图的测试分析。

图5是软件界面和分析结果的一个示例,上面两福图形是用户界面。左边的是离线分析软件,用示波器同时采集DQS和DQ信号,并且存为*.CSV文件。采集时,采样率设置为20GSa/s,存储深度设置为200k以上,确保捕获足够的数据,同时被测系统运行大型的软件或MemoryTest.exe工具(此内存测试软件能够进行内存总线的加压测试,一般做系统设计的或内存设计的都有),以让总线上有足够多的数据,来增加捕获各种码型和最差情况的可能性。离线软件调用采集的波形,自动去掉三态数据,把“读”数据放在一起,把“写”数据放在一起,基于DQS的有效边沿(去掉预调整和后调整后的上升边沿和下降边沿),累积在一起形成眼图,调用模板判断信号的优劣和是否满足规范要求。

图5右上图是基于Agilent的DSO80000和54850系列示波器的在线实时分析软件界面。需要把软件安装在示波器内部,或安装在外部电脑上用LAN或GPIB到USB适配器(82357A)连接到示波器。示波器的通道1探测DQS,通道3探测DQ,被测系统上运行大型的软件或

MemoryTest.exe工具。在在线软件上输入想重复捕获波形的次数,然后按“starting”进行实时数据的捕获和实时眼图的分析,软件会控制示波器捕获波形,然后分析数据,形成眼图,调用模板判断信号是否满足规范要求,然后重复下一次捕获和分析,同时把新捕获的波形累积到前面捕获的波形上面。在线软件执行的算法与离线软件类似,只是可以自动控制示波器,进行波形的多次捕获和分析,并同时把实时捕获的波形的分析结果连同以前的结果一同显示出来。

图5:自己设计的DDR 1/2/3“读”“写”眼图分析软件的用户界面和测试结果示例图5下面的两个波形是实际的分析结果示例,分析的是DDR2 667,测试点是在DIMM内存条上。对我们比较有意义的是左边的“写”眼图,它反映的是信号从北桥发出,通过主板较长距离传输到达DRAM接收芯片的波形,这是我们做系统设计和测试时需要得到的眼图。右图则是直接从DRAM芯片发出的信号的波形,因为测试点是在DIMM上,所以对我们只有参考价值:当在北桥测试出时序问题时,通过此波形的观察确定是主板设计的问题,还是DIMM或DRAM芯片本身的问题。如果测试点在北桥,则我们需要关注“读”眼图,而此时“写”眼图一般只有参考价值。

这个DDR“读”“写”眼图分析软件的算法是什么呢?其实很简单,如图6所示,如果直接用DQS触发DQ,则会形成比较乱的眼图,但是中间又包括需要的信息,如图中的红色圆圈周围的是“写”眼图信息,途中的黄色圆圈周围则是“读”眼图信息。而眼图之所以乱,是因为同时包括“三态”信号和“读”“写”信号,而“读”和“写”信号的时序是矛盾的。使用

软件进行分离的处理比较容易:首先,扔掉三态信号,保留有用信息,软件设计时,检测DQS 有效边沿,离DQS有效边沿较远的信号就是三态信号,扔掉它;然后,根据建立时间和保持时间的关系把“读”信号和“写”信号分成两个数据库;最后,把“读”信号数据库按DQS有效边沿放在一起形成眼图,把“写”信号数据库按DQS有效边沿放在一起形成眼图,分别调用模板产生测试结果。

图6:直接使用DQS触发DQ而形成的杂乱眼图

DDR 1&2&3 模板定义

DDR规范没有定义模板,这给用眼图方式分析信号时判断信号是否满足规范要求带来挑战。为了更容易判断是否满足规范的要求,像分析一般串行信号一样分析“读”“写”眼图,本人根据Jedec规范设计了“读”“写”模板。下面以定义“写”眼图模板为例,介绍一下模板的设计方法。

图7是Jedec规范上的时序和波形参数,t DS是相对于DQS有效边沿的建立时间,t DH是相对于DQS有效边沿的保持时间,t DS相对于DQ的AC参数,t DH则相对于DQ的DC参数,不过为了测试方面的方便性,我们都可以用AC参数来定义t DS和t DH。t DS和t DH参数的测试是DDR的核心测试参数。

图7:Jedec规范上的DDR2电参数定义

在Jedec规范上,我们可以查到各种速率的t DS和t DH 的规范值,比如DDR2 533,t DS

是100ps,t DH 是225ps。我们也可以查到各种速率的AC参数值,比如DDR2 533:

V IH(ac)min=Vref+0.25V=0.9+0.25=1.15V

V IL(ac)max=Vref-0.25V=0.9-0.25=0.65V

其中,V IH(ac)min是V IH(ac)规范的最小值,V IL(ac)max是V IL(ac)规范的最大值,Vref是参考电压,对DDR1来说是1.25V,DDR2来说是0.9V,DDR3来说是0.75V。

基于t DS和t DH 和V IH(ac)min和V IL(ac)max参数,我们可以得出DDR2 533“写”眼图的模板如图8示。中间的红色区域就是模板,Setup time是t DS建立时间,Hold time是t DH 保持时间,VIH(AC)是V IH(ac)min值,VIL(AC)是V IL(ac)max值。中间的黄色线是DQS的有效边沿即有效的上升沿或下降沿。

图8:DDR2 533“写”眼图模板的定义

严格按规范的话,中间的模板应该定义为横着的梯形,因为保持时间是相对于DC参数,不过用长方形可以定义一个更严格的参数要求。

小结

DDR是需要进行信号完整性测试的总线中最复杂的总线,不仅走线多、探测困难,而且时

序复杂,各种操作交织在一起。本文基于多年的实践经验,提供了一些测试的参考方法,尤其对“读”“写”眼图的测试方法和模板的定义提出一种创新的观点,并设计软件实现了这种方法,希望对主板,DIMM,DRAM设计者和测试者有参考价值。

参考资料:

1、 DDR总线的失效分析方法探索,孙灯亮,2004

2、 High Speed Memory Debug Techniques, Agilent Technologies, Inc.2004

3、Double Data Rate(DDR) SDRAM Specification, JEDEC SOLID STATE TECHNOLOGY

ASSOCIATION, May 2002

4、 DDR2 SDRAM Specification ,JEDEC SOLID STATE TECHNOLOGY ASSOCIATION,Sep.

2003

5、 DDRII System Validation and Debug, IDF, 2004

作者简介:

孙灯亮于2003年作为高级系统工程师加入Agilent公司。之前,作为应用工程师和研发工程师工作多年。曾在华为技术有限公司从事信号完整性分析和高速互连设计的技术研究和产品开发工作。Email:deng-liang_sun@https://www.360docs.net/doc/3c8431526.html,

(完整word版)SerDes知识详解

SerDes知识详解 一、SerDes的作用 1.1并行总线接口 在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。 随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。 ?时钟到达两个芯片的传播延时不相等(clock skew) ?并行数据各个bit的传播延时不相等(data skew) ?时钟的传播延时和数据的传播延时不一致(skew between data and clock) 虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。 源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,

也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。 我们来做一些合理的典型假设,假设一个32bit数据的并行总线, a)发送端的数据skew = 50 ps ---很高的要求 b)pcb走线引入的skew = 50ps ---很高的要求 c)时钟的周期抖动jitter = +/-50 ps ---很高的要求 d)接收端触发器采样窗口= 250 ps ---Xilinx V7高端器件的IO触发器 可以大致估计出并行接口的最高时钟= 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。 利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。 要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步开关噪声(SSN)。 这里不讨论SSN的原理,直接给出SSN的公式:SSN = L *N* di/dt。 L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。 随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

眼图常用知识介绍

眼图常用知识介绍 关于眼图及其测量大家已经做了较多的讨论传输指标测试大全其侧重于眼图的定义和测量光眼图分析张轩/22336著 以及色散对长距离传输后的眼图的影响 如下降时间消光比信噪比以及如何从各个方面来衡量一个眼图的优劣 现在我们公司常用的测量眼图的仪器为CSA8000 1眼图与常用指标介绍 下图为一个10G光信号的眼图右边一栏为这个光信号的一些测量值ExdB交叉点比例QF平均光 功率Rise下降时间峰值抖动 RMSJ 消光比定义为眼图中电平比电平的值传输距离又不同的要求G.957的建议 衡量器件是否符合要求除了满足建议要求之外 一般的对于FP/DFB直调激光器要求EML电吸收激光器消光比不小于10dBμ?ê??a2¢2?òa??×???1a±è

可以无限大将导致激光器的啁啾系数太大不利于长距传 输与速率的最低要求消光比大0.5~1.5dB???ùò???3??a?′ò???êy?μê?o|????1a±èì???á? μ????ó??2úéú?òí¨μà′ú??3?±ê??óD2úéú?ó??2¢?òí¨μà′ú???ú×???±êòa?ó?à′ó???éò? óéóú′?ê?1y3ì?Dμ????óê?2àμ???2?μ??à??óú·¢?í2àé?ò?±£?¤?óê?2àμ???2?μ?±èày?ú′ó??50ê1μ??óê?2àμ?áé???è×???ò?°?·¢?í2à??2?μ?±èày?¨òé?????ú4045 Q因子综合反映眼图的质量问题表明眼图的质量越好 光功率一般来说1???????ú2??ó1a?¥??μ??é????越高越好越高越好 如果需要准确地测量光功率 信号的上升时间下降的快慢 的变化的时间下降时间不能大于信号的周期的40如9.95G信号要求其上升 峰可以定性反映信号的抖动大小这两个测量值是越小越好如Agilint 的37718 在测量抖动的时候才能保证测量值相对准确 做为一个比较参考一般在发送侧的测量值都大于30dB

(精品)实验四数字解调与眼图解析

实验四数字解调与眼图 一、实验目的 1. 掌握2DPSK相干解调原理。 2. 掌握2FSK过零检测解调原理。 二、实验内容 1. 用示波器观察2DPSK相干解调器各点波形。 2. 用示波器观察2FSK过零检测解调器各点波形。 3.用示波器观察眼图。 三、基本原理 可用相干解调或差分相干解调法(相位比较法)解调2DPSK信号。在相位比较法中,要求载波频率为码速率的整数倍,当此关系不能满足时只能用相干解调法。本实验系统中,2DPSK载波频率等码速率的13倍,两种解调方法都可用。实际工程中相干解调法用得最多。2FSK信号的解调方法有:包络括检波法、相干解调法、鉴频法、过零检测法等。 图4-1 数字解调方框图 (a)2DPSK相干解调(b)2FSK过零检测解调 本实验采用相干解调法解调2DPSK信号、采用过零检测法解调2FSK信号。2DPSK模块内部使用+5V、+12V和-12V电压,2FSK模块内部仅使用+5V电压。图4-1为两个解调器的原理方框图,其电原理图如图4-2所示(见附录)。 2DPSK解调模块上有以下测试点及输入输出点: ? MU 相乘器输出信号测试点 ? LPF 低通、运放输出信号测试点 ? Vc 比较器比较电压测试点 ? CM 比较器输出信号的输出点/测试点

? BK 解调输出相对码测试点 ? AK-OUT 解调输出绝对码的输出点/测试点(3个) ? BS-IN 位同步信号输入点 2FSK解调模块上有以下测试点及输入输出点: ? FD 2FSK过零检测输出信号测试点 ? LPF 低通滤波器输出点/测试点 ? CM 整形输出输出点/测试点 ? BS-IN 位同步信号输入点 ? AK-OUT 解调输出信号的输出点/测试点(3个) 2DPSK解调器方框图中各单元与电路板上元器件的对应关系如下: ?相乘器U29:模拟乘法器MC1496 ?低通滤波器R31;C2 ?运放U30:运算放大器UA741 ?比较器U31:比较器LM710 ?抽样器U32:A:双D触发器7474 ?码反变换器U32:B:双D触发器7474;U33:A:异或门7486 2FSK解调器方框图中各单元与电路板上元器件对应关系如下: ?整形1 U34:A:反相器74HC04 ?单稳1、单稳2 U35:单稳态触发器74123 ?相加器U36:或门7432 ?低通滤波器U37:运算放大器LM318;若干电阻、电容 ?整形2 U34:B:反相器74HC04 ?抽样器U38:A:双D触发器7474 在实际应用的通信系统中,解调器的输入端都有一个带通滤波器用来滤除带外的信道白噪声并确保系统的频率特性符合无码间串扰条件。本实验系统中为简化实验设备,发端即数字调制的输出端没有带通滤波器、信道是理想的,故解调器输入端就没加带通滤波器。 下面对2DPSK相干解调电路中的一些具体问题加以说明。 ? MU的波形接近图4-3所示的理论波形,略有区别。 ?信源是周期为24bit的周期信号,当24bit的相对码BK中“1”码和“0”码个数不相等时,相乘器U29的输出信号MU及低通滤波器输出信号LPF是正负不对称的信号。在实际的2DPSK通信系统中,抽样判决器输入信号是一个均值为0且正负对称的信号,因此最佳判决电平为0。本实验系统中,Vc决定判决电平。当Vc=0而相对码BK中“1”码和“0”码个数差别太大时,可能出现误判决,即解调器出现误码。因为此时LPF信号的正电平或负电平非常接近0电平,抽样脉冲(位同步信号)稍不理想就会造成误码。电位器R39用来调节判

光纤通信系统测量中的眼图分析方法

实验四 光纤通信系统测量中的眼图分析方法测试实验 一、实验目的 1、了解眼图的形成过程 2、掌握光纤通信系统中眼图的测试方法 二、实验仪器 1、ZYE4301F 型光纤通信原理实验箱1台 2、20MHz 模拟双踪示波器1台 3、万用表1台 三、实验原理 眼图是衡量数字光纤通信系统数据传输特性的简单而又有效的方法。眼图可以在时域中测量,并且可以用示波器直观的显示出来。图1是测量眼图的系统框图。测量时,将“伪随机码发生器”输出的伪随机码加在被测数字光纤通信系统的输入端,该被测系统的输出端接至示波器的垂直输入,用位定时信号(由伪随机码发生器提供)作外同步,在示波器水平输入用数据频率进行触发扫描。这样,在示波器的屏幕上就可以显示出被测系统的眼图。 伪随机脉冲序列是由n 比特长,2n 种不同组合所构成的序列。例如,由n=2比特长的4种不同有 组合、n=3比特长的8种不同的组合、n=4比特长16种不同的组合组成,直到伪随机码发生器所规定的极限值为止,在产生这个极限值以后,数据序列就开始重复,但它用作为测试的数据信号,则具有随机性。如图2所示的眼图,是由3比特长8种组合码叠加而成,示波器上显示的眼图就是这种叠加的结果。 分析眼图图形,可以知道被测系统的性能,下面用图3所示的形状规则的眼图进行分析: 1、当眼开度 V V V ?-为最大时刻,则是对接收到的信号进行判决的最佳时刻,无码间干扰、信号无畸变时的眼开度为100%。 2、由于码间干扰,信号畸变使眼开度减小,眼皮厚度V V ?增加,无畸变眼图的眼皮厚度应该等于零。 图1眼图的测试系统

3、系统无畸变眼图交叉点发散角b T T ?应该等于零。 4、系统信道的任何非线性都将使眼图出现不对称,无畸变眼图的正、负极性不对称度- +-++-V V V V 应该等 于零。 5、系统的定时抖动(也称为边缘抖动或相位失真)是由光收端机的噪声和光纤中的脉冲失真产生的,如果在“可对信号进行判决的时间间隔T b ”的正中对信号进行判决,那么在阈值电平处的失真量ΔT 就表示抖动的大小。因此,系统的定时抖动用下式计算: 定时抖动= %100??Tb T

(精选)眼图观察测量实验

实验12 眼图观察测量实验 一、实验目的 1.学会观察眼图及其分析方法,调整传输滤波器特性。 二、实验仪器 1. 眼图观察电路(底板右下侧) 2. 时钟与基带数据发生模块,位号:G 3. 噪声模块,位号E 4. 100M双踪示波器1台 三、实验原理 在整个通信系统中,通常利用眼图方法估计和改善(通过调整)传输系统性能。 我们知道,在实际的通信系统中,数字信号经过非理想的传输系统必定要产生畸变,也会引入噪声和干扰,也就是说,总是在不同程度上存在码间串扰。在码间串扰和噪声同时存在情况下,系统性能很难进行定量的分析,常常甚至得不到近似结果。为了便于评价实际系统的性能,常用观察眼图进行分析。 眼图可以直观地估价系统的码间干扰和噪声的影响,是一种常用的测试手段。 什么是眼图? 所谓“眼图”,就是由解调后经过接收滤波器输出的基带信号,以码元时钟作为同步信号,基带信号一个或少数码元周期反复扫描在示波器屏幕上显示的波形称为眼图。干扰和失真所产生的传输畸变,可以在眼图上清楚地显示出来。因为对于二进制信号波形,它很像人的眼睛故称眼图。 在图12-1中画出两个无噪声的波形和相应的“眼图”,一个无失真,另一个有失真(码间串扰)。 图12-1中可以看出,眼图是由虚线分段的接收码元波形叠加组成的。眼图中央的垂直线表示取样时刻。当波形没有失真时,眼图是一只“完全张开”的眼睛。在取样时刻,所有可能的取样值仅有两个:+1或-1。当波形有失真时,“眼睛”部分闭合,取样时刻信号取值就分布在小于+1或大于-1附近。这样,保证

正确判决所容许的噪声电平就减小了。换言之,在随机噪声的功率给定时,将使误码率增加。“眼睛”张开的大小就表明失真的严重程度。 为便于说明眼图和系统性能的关系,我们将它简化成图12-2的形状。 由此图可以看出:(1)最佳取样时刻应选择在眼睛张开最大的时刻;(2)眼睛闭合的速率,即眼图斜边的斜率,表示系统对定时误差灵敏的程度,斜边愈陡,对定位误差愈敏感; (3)在取样时刻上,阴影区的垂直宽度表示最大信号失真量; (4)在取样时刻上,上下两阴影区的间隔垂直距离之半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决;(5) 阴影区与横轴相交的区间表示零点位置变动范围,它对于从信号平均零点位置提取定时信息的解调器有重要影响。实验室理想状态下的眼图如图12-3 所示。 衡量眼图质量的几个重要参数有: 1.眼图开启度(U-2Δ U)/U 指在最佳抽样点处眼图幅度“张开”的程度。无畸变眼图的开启度应为100%。

通信原理实验数字解调与眼图

实验名称数字解调与眼图 学院信息科学与工程学院 专业班级________________________________ 姓名 _______________________________ 学号 _______________________________ 数字解调与眼图 一、实验目的 1. 掌握2DPSK相干解调原理。 2. 掌握2FSK过零检测解调原理。 二、实验内容 1. 用示波器观察2DPSK相干解调器各点波形。 2. 用示波器观察2FSK过零检测解调器各点波形。 3. 用示波器观察眼图。 三、基本原理 可用相干解调或差分相干解调法(相位比较法)解调2DPSK信号。在相位比较法中,要求载波频率为码速率的整数倍,当此关系不能满足时只能用相干解调法。 本实验系统中,2DPSK载波频率等码速率的13倍,两种解调方法都可用。实际工程中相干解调法用得最多。2FSK信号的解调方法有:包络括检波法、相干解调法、鉴频法、过零检测法等。 图4-1 数字解调方框图

实验名称数字解调与眼图 (a)2DPSK相干解调(b)2FSK过零检测解调

本实验采用相干解调法解调2DPSK言号、采用过零检测法解调2FSK信号。2DPSK 模块内部使用+5V、+12V和-12V电压,2FSK模块内部仅使用+5V电压。图4-1为两个解调器的原理方框图,其电原理图如图4-2所示(见附录)。 2DPSK解调模块上有以下测试点及输入输出点: :MU相乘器输出信号测试点 :LPF低通、运放输出信号测试点 :Vc比较器比较电压测试点 :CM比较器输出信号的输出点/测试点 :BK解调输出相对码测试点 :AK-OUT解调输出绝对码的输出点/测试点(3个) :BS-IN位同步信号输入点 2FSK解调模块上有以下测试点及输入输出点: :FD2FSK过零检测输出信号测试点 :LPF低通滤波器输出点/测试点 :CM整形输出输出点/测试点 :BS-IN位同步信号输入点 :AK-OUT解调输出信号的输出点/测试点(3个) 2DPSK解调器方框图中各单元与电路板上元器件的对应关系如下: :相乘器U29:模拟乘法器MC1496 :低通滤波器R31; C2 :运放U30:运算放大器UA741 :比较器U31:比较器LM710

FPGA眼图

高速FPGA系统的信号完整性测试和分析 张楷 泰克科技(中国)有限公司 摘要:随着FPGA器件的速度和容量日益提高,各种高速的并行和串行接口都广泛应用在FPGA上,其中典型的高速串行总线速率超过1Gb/s,这为设计和应用人员提供了极大的灵活性,同时对于FPGA IO的测试和验证也带来了新的挑战。本文针对FPGA中各种高速串行总线的信号,提供全面的信号完整性测试和分析方法。 关键词:FPGA,高速串行信号, 信号完整性, 抖动,眼图测试,采样示波器 1. 引言 随着FPGA的设计速度和容量的明显增长,当前流行的FPGA芯片都提供高速总线,例如DDR内存总线,PCI-X总线、SPI总线;针对超高速的数据传输,FPGA通过集成SerDes提供高速串行IO,支持各种诸如PCI-E、GBE、XAUI等高速串行总线协议,为各种不同标准的高速传输提供极大的灵活性。典型的高速FPGA器件提供的每一条物理链路的速度从200Mbps到高达10Gbps,高速IO的测试和验证更成为传统专注于FPGA内部逻辑设计的设计人员面临的巨大挑战。这些挑战使设计人员非常容易会把绝大部分设计周期时间放在调试和检验设计上。 为了加速对于FPGA中高速并行和串行总线的调试和验证,它需要使用新的高速信号完整性测试工具和分析方法。本文根据当前FPGA的高速总线测试和分析,提供了最新的方法和工具。 图1是一个典型FPGA的提供的各种高速接口。对于这些速度从200M到高达10G的高速总线,信号完整性的测试和分析是保证设计成功的基础和关键。 图1 典型FPGA的提供的各种高速接口

2. 高速串行总线眼图测试 对于采用内嵌SERDES电路的FPGA芯片,其高速串行信号进行测试和验证,最基本的工具是通过示波器进行对其眼图测试。因为眼图能够非常直观的反映一条被测信号路径上的整体信号质量问题,包括信号的抖动量大小(眼宽)以及幅度的大小(眼高)等重要信息。图2是一个高速数据信号的眼图形成的过程。 图2 眼图的形成过程 从眼图的形成过程可以看出,一个NRZ编码的高速数据无论传输何种码流,都可以看作一个重复信号,经过一定时间和样本数的累计,它反映整个传输链路上的总体信号质量。 3. 选择合适的眼图测试工具 3.1 示波器带宽的要求 示波器是进行高速串行信号眼图测试的首选工具。无论是用高速实时示波器还是采样示波器(Sampling Scope)得到眼图,带宽是对示波器的基本要求。以一个NRZ编码的高速串行总线为例,它理想的波形是一个方波信号,方波信号是由它的基波(正弦波)和奇次谐波(3次,5次,7次…)组成。根据信号的传输速率和上升时间,选择尽量高带宽和最快上升时间的示波器,这样测试结果保留更多的谐波分量,构建高精度的眼图测试结果。 示波器带宽反映了对被测信号幅度上的衰减,而示波器上升时间决定了对被测信号上升时间测试的误差。经典的示波器带宽和上升时间的关系为:带宽×上升时间=0.35-0.45,0.35-0.45为常系数。每一个高性能示波器除了提供带宽的指标外,还会给出上升时间,表征其对阶跃信号的测试能力和精度。示波器测试结果的经验公式为: 高速串行数据(NRZ编码)提供一般为数据率,其最高的基频为比特率的一半。即假设给定一个NRZ编码的串行信号,码型为时钟码型(即传输的数据为1-0-1-0-1),从频域的角度观测该信号,它成为一个基波为数据率一半的方波信号,这个时钟码型是数据变化最快的情况。以泰克TDS6154C 大于15GHz带宽的实时示波器为例,它可以测试保留6.25Gb/s (2×XAUI)信号的五次谐波,以及10Gb/s (XFI)信号的三次谐波。下表列出了不同的高速串行总线在不同测

眼图分析

清风醉明月 slp_art 随笔- 42 文章- 1 评论- 20 博客园首页新随笔联系管理订阅 眼图——概念与测量(摘记) 中文名称: 眼图 英文名称: eye diagram;eye pattern 定义: 示波器屏幕上所显示的数字通信符号,由许多波形部分重叠形成,其形状类似“眼”的图形。“眼”大表示系统传输特性好;“眼”小表示系统中存在符号间干扰。 一.概述 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用下图所示的图形来描述,由此图可以看出:

(1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。 (3)眼图左(右)角阴影部分的水平宽度表示信号零点的变化范围,称为零点失真量,在许多接收设备中,定时信息是由信号零点位置来提取的,对于这种设备零点失真量很重要。 (4)在抽样时刻,阴影区的垂直宽度表示最大信号失真量。 (5)在抽样时刻上、下两阴影区间隔的一半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决。 (6)横轴对应判决门限电平。” 二、眼图的一些基本概念 —“什么是眼图?” “眼图就是象眼睛一样形状的图形。 图五眼图定义” 眼图是用余辉方式累积叠加显示采集到的串行信号的比特位的结果,叠加后的图形形状看起来和眼睛很像,故名眼图。眼图上通常显示的是1.25UI的时间窗口。眼睛的形状各种各样,眼图的形状也各种各样。通过眼图的形状特点可以快速地判断信号的质量。 图六的眼图有“双眼皮”,可判断出信号可能有串扰或预(去)加重。 图六“双眼皮”眼图

抖动和眼图分析工具

抖动和眼图分析工具 DPOJET 主要特点和优点 - 时钟和数据信号的抖动和定时分析- 实时眼图(RT-Eye TM )分析*1 - TekWizard TM 界面,单键操作和引 导性抖动摘要 - 完善的标准支持库,全面执行通过/失败极限和模板测试; 外加用户极限和模板文件,支持自定义测试配置和新标准或开发中的标准- 可以选择抖动模型,准确分解抖动和估算TJ(BER)*2,支持流行标准;光纤通道或PCI-Express Delta-Delta (Dual-Dirac)和卷积结果- 9种曲线类型,查看和分析抖动:眼图, CDF浴盆, 频谱, 直方图, 趋势, 数据, 相噪和转函- 可编程软件时钟恢复,包括软件PLL *3- 用户可以选择黄金PLL,支持流行标准- 可以选择高通和低通测量滤波器- 可以选择高和低极限测量范围测试- 完善的统计登录、报告和远程自动控制- 捕获和保存最坏情况信号,进行详细分析 应用 检定高速串行总线和并行总线设计的性能 - 检定时钟和数据抖动和信号完整性- 检定PLL 动态性能 - 检定扩频时钟电路的调制性能- 检定抖动生成、转函和容限- 对PCI Express、Serial ATA、SAS、光纤通道、DisplayPort、DDR2、DDR3、FBD 及其它电气和光学系统执行物理层测试 *1 已获专利USPTO #6,836,738, *2 已获专利USPTO #6,832,172, #6,853,933, #7,254,168, *3 已获专利USPTO #6,812,688. 实时抖动和眼图分析 DPOJET 为实时示波器提供了优秀的眼图、抖动和定时分析软件。DPOJET在泰克DPO7000、DPO70000和DSA70000系列示波器中运行,为工程师提供了实时仪器中最高的灵敏度和精度。通过采用完善的抖动和眼图分析及分解算法,DPOJET在当前高速串行、数字和通信系统设计中简化了发现信号完整性问题和抖动及相关来源的工作。 随着处理器时钟速率超过3 GHz,背板总线和串行数据链路数据速率超过8 GT/s,计算机、半导体和通信行业中的模拟和 数字设计人员面临着许多新的挑战。日益提高的速率意味着电路容限或裕量下降,带来了抖动和相关的信号完整性问题。通过使用可以帮助您迅速检定和发现抖动和信号完整性问题来源的工具,您可以更快地向市场上推出新的设计,并树立更高的信心,相信它们能够在当前超高速环境中可靠地运行。更快地向市场上推出可靠性更高、性能更高的新产品,意味着贵公司可以有更多的机会改善余量。 AWG5000系列。

工程师必须懂得眼图分析方法

信号完整性分析基础系列之一 ——关于眼图测量(上) 汪进进美国力科公司深圳代表处 内容提要:本文将从作者习惯的无厘头漫话风格起篇,从四个方面介绍了眼图测量的相关知识:一、串行数据的背景知识; 二、眼图的基本概念; 三、眼图测量方法; 四、力科示波器在眼图测量方面的特点和优势。全分为上、下两篇。上篇包括一、二部分。下篇包括三、四部分。 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest的迷恋甚至使有些工程师忘记了眼图是可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”,看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。

为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元定时同步时,适当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。 通常眼图可以用下图所示的图形来描述,由此图可以看出: (1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。

数字通信原理实验一、二、四报告

中南大学 数字通信原理实验报告

目录 实验一:数字基带信号 (3) 实验二:数字调制 (7) 实验四:数字调解和眼图 (11)

实验内容:实验一、实验二、实验四 实验一:数字基带信号 一、实验目的 1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 2、掌握AMI、HDB 3 码的编码规则。 3、掌握从HDB 3 码信号中提取位同步信号的方法。 4、掌握集中插入帧同步码时分复用信号的帧结构特点。 5、了解HDB 3 (AMI)编译码集成电路CD22103。 二、实验内容 1、用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高 密度双极性码(HDB 3)、整流后的AMI码及整流后的HDB 3 码。 2、用示波器观察从HDB 3 码中和从AMI码中提取位同步信号的电路中有关波形。 3、用示波器观察HDB 3 、AMI译码输出波形。 三、实验步骤 本实验使用数字信源单元和HDB3编译码单元。 1.熟悉数字信源单元和HDB3编译码单元的工作原理。接好电源线,打开电源开关。 2.用示波器观察数字信源单元上的各种信号波形。 用信源单元的FS作为示波器的外同步信号,示波器探头的地端接在实验板任何位置的GND点均可,进行下列观察: (1)示波器的两个通道探头分别接信源单元的NRZ-OUT和BS-OUT,对照发光二极管的发光状态,判断数字信源单元是否已正常工作(1码对应的发光管亮,0码对应的发光管熄); (2)用开关K1产生代码×1110010(×为任意代码,1110010为7位帧同步码),K2、K3产生任意信息代码,观察本实验给定的集中插入帧同步码时分复用信号帧结构,和NRZ码特点。 3.用示波器观察HDB 3 编译单元的各种波形。

中南大学通信原理实验报告数字解调与眼图

中南大学 《通信原理》 实验报告 学生姓名 学生学号 学院信息科学与工程学院 专业班级 完成时间 2013.6.5

实验三数字解调与眼图 一、实验目的 1. 掌握2DPSK相干解调原理。 2. 掌握2FSK过零检测解调原理。 二、实验内容 1. 用示波器观察2DPSK相干解调器各点波形。 2. 用示波器观察2FSK过零检测解调器各点波形。 3.用示波器观察眼图。 三、基本原理 可用相干解调或差分相干解调法(相位比较法)解调2DPSK信号。在相位比较法中,要求载波频率为码速率的整数倍,当此关系不能满足时只能用相干解调法。本实验系统中,2DPSK载波频率等码速率的13倍,两种解调方法都可用。实际工程中相干解调法用得最多。2FSK信号的解调方法有:包络括检波法、相干解调法、鉴频法、过零检测法等。 图4-1 数字解调方框图 (a)2DPSK相干解调(b)2FSK过零检测解调本实验采用相干解调法解调2DPSK信号、采用过零检测法解调2FSK信号。2DPSK模块内部使用+5V、+12V和-12V电压,2FSK模块内部仅使用+5V电压。图4-1为两个解调器的原理方框图,其电原理图如图4-2所示(见附录)。 2DPSK解调模块上有以下测试点及输入输出点:

? MU 相乘器输出信号测试点 ? LPF 低通、运放输出信号测试点 ? Vc 比较器比较电压测试点 ? CM 比较器输出信号的输出点/测试点 ? BK 解调输出相对码测试点 ? AK-OUT 解调输出绝对码的输出点/测试点(3个) ? BS-IN 位同步信号输入点 2FSK解调模块上有以下测试点及输入输出点: ? FD 2FSK过零检测输出信号测试点 ? LPF 低通滤波器输出点/测试点 ? CM 整形输出输出点/测试点 ? BS-IN 位同步信号输入点 ? AK-OUT 解调输出信号的输出点/测试点(3个) 2DPSK解调器方框图中各单元与电路板上元器件的对应关系如下:?相乘器U29:模拟乘法器MC1496 ?低通滤波器R31;C2 ?运放U30:运算放大器UA741 ?比较器U31:比较器LM710 ?抽样器U32:A:双D触发器7474 ?码反变换器U32:B:双D触发器7474;U33:A:异或门7486 2FSK解调器方框图中各单元与电路板上元器件对应关系如下:?整形1 U34:A:反相器74HC04 ?单稳1、单稳2 U35:单稳态触发器74123 ?相加器U36:或门7432 ?低通滤波器U37:运算放大器LM318;若干电阻、电容 ?整形2 U34:B:反相器74HC04 ?抽样器U38:A:双D触发器7474 在实际应用的通信系统中,解调器的输入端都有一个带通滤波器用来滤除带外的信道白噪声并确保系统的频率特性符合无码间串扰条件。本实验系统中为简

DDR 1&2&3 的“读”和“写”眼图分析

DDR 1&2&3的“读”和“写”眼图分析 安捷伦科技有限公司孙灯亮 【关键字】DDR,读眼图,写眼图,模板,示波器 【摘要】现在不论做主板设计或测试的工程师,还是做内存或DDR芯片设计或测试的工程师都会面临这样一个问题:如何能够分离出“读”和“写”眼图以发现有无信号品质问题?因为简单测试一段波形很难确定你的设计或产品是否满足规范要求。而因为DDR的数据总线信号存在三态,“读”时序和“写”时序不同的情况,我们很难直接用示波器把“读”眼图和“写”眼图分离出来。本文根据自己设计的DDR“读”“写”分离软件,介绍一种把“读”眼图和“写”眼图分离开的方法,并创新地引入模板测试的方法。 【Key Words】DDR,read eye diagram,write eye diagram,DDR mask,Oscilloscope 【Abstract】When designing or testing motherboard, DIMM or DDR DRAM, design or test engineer will meet a challenge that how to separate read eye diagram and write eye diagram from DDR data bus. It is difficult to find signal integrity issues if only acquire and analysis several waveforms. There are tri-state, read burst and write burst in DDR data bus, and the timing is different between read burst and write burst. So it is impossible to get clear eye diagram directly. This article introduces an innovated method to separate read eye diagram and write eye diagram, and introduces an innovated method about how to define mask for read eye diagram and write eye diagram. DDR 1&2&3总线概览 DDR全名为Double Data Rate SDRAM ,简称为DDR。现在DDR技术已经发展到了DDR 3,理论上速度可以支持到1600MT/s。DDR总线走线数量多,速度快,操作复杂,探测困难,给测试和分析带来了巨大的挑战。 DDR 本质上不需要提高时钟频率就能加倍提高SDRAM的速度,它允许在时钟的上升沿和下降沿读出数据,因而其速度是标准SDRAM的两倍。至于地址与控制信号则与传统SDRAM 相同,仍在时钟上升沿进行数据判断。 目前,许多计算机使用时钟频率为533MHz的DDR2内存,更先进的DDR2内存正在日益普及,它的时钟频率在400 MHz-800 MHz之间,新的DDR3内存的时钟频率则可以工作在800MHz-16OOMHz 之间。DDR3内存芯片还有另外一个长处:更低的能耗,它的运行电压是1.5伏,低于DDR2内存芯片的1.8伏和DDR1内存芯片的2.5伏。在使用电池的设备中能够延长电池续航时间,因为能耗低,产生的热量也就少,从而对冷却的要求也就低一些。 DDR 2&3几个新增特性的含义是:ODT( On Die Termination),DDR1 匹配放在主板上,DDR2&3把匹配直接设计到DRAM芯片内部,用来改善信号品质。OCD(Off Chip Driver)是加强上下拉驱动的控制功能,通过减小DQS与/DQS(DQS是数据Strobe,源同步时钟,数据的1和0由DQS作为时钟来判断) Skew(时滞)来增加信号的时序容限(Timing Margin)。Posted CAS是提高总线利用率的一种方法。AL(Additive Latency)技术是相对于外部CAS,内部CAS执行一定的延时。

数字调制与解调报告

《数字通信原理与系统》期末考察报告 题目: 数字调制与解调 学生姓名: 学号: : 院系 : 专业 2015 年6 月10 日

一、数字调制与解调 1、数字的调制 调制是对信号源的编码信息进行处理,使其变为适合传输的形式的过程。即是把基带转变为一个相对基带信号而言频率非常高的带通信号。带通信号叫做以调信号,而基带信号叫做调制信号。调制可以通过改变调制后载波的幅度,相位或者频率来实现。 ASK--又称幅移键控法。这种调制方式是根据信号的不同,调节正弦波的幅值。PSK--在相移键控中,载波相位受数字基带信号的控制,如二进制基带信号为0时,载波相位为0,为1时载波相位为π,载波相位和基带信号有一一对应的关系。 FSK--称频移键控法,就是用数字信号去调制载波的频率。 QAM--又称正交幅度调制法。根据数字信号的不同,不仅载波相位发生变化,而且幅度也发生变化。 QPSK-----四相相移键控 四相相移键控(QPSK)。又称正交PSK,是另一种角度调制、等幅数字可调形式。采用QPSK,一个载波上可能有四个输出相位。因为有四个不同的输出相位。必须有四个不同的输入条件,就要采用多余一个输入位。用二位时有四种可能的条件:00、01、10、11.所以采用QPSK,二进制输入数据被合并成两比特一组,称为双比特组。 2、数字的解调 解调方式可以分成两种:相干解调和非相干解调。相干解调需要在接收机中使 用与发射机载波同频同相的本振,而非相干解调不需要获得载波的任何信息,所以非相干解调可以大大简化接收机的硬件设计。非相干解调的性能在AWGN信道中要比相干解调差1dB甚至更多。但是非相干解调在衰减的信道中具有较好的稳健性,其硬件实现也相对简单,所以在许多无线通信系统中,尤其在移动无线电 中非相干解调被广泛地使用。 相干解调将接收到的信号与载波相比较,直接得到绝对相位。而非相千解调不能得到绝对相位,所以需要用其它方法来检测发射符号。ASK在现代无线通信中已经不再被使用,所以非相干解调一般只是针对两个参数:瞬时频率和相对相位,这 分别对应了鉴频器和差分解调器。鉴频器是针对FSK调制方式的,而差分解调器是针对PKS调制方式的。 二、QPSK调制 1、QPSK系统框图介绍 在图1的系统中,发送方,QPSK数据源采用随机生成,信源编码采用差分调制器,经由发送滤波器进入传输信道。QPSK编码,编码后的信号经 接收方,信号首先经过相位旋转,再经匹配滤波器解调,经阈值比较得到未解码

眼图形成及其基本知识归纳

1眼图基本概念 1.1 眼图的形成原理 眼图是一系列数字信号在示波器上累积而显示的图形,它包含了丰富的信息,从眼图上可以观察出码间串扰和噪声的影响,体现了数字信号整体的特征,从而估计系统优劣程度,因而眼图分析是高速互连系统信号完整性分析的核心。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰,改善系统的传输性能。 用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形就称为眼图。示波器一般测量的信号是一些位或某一段时间的波形,更多的反映的是细节信息,而眼图则反映的是链路上传输的所有数字信号的整体特征,如下图所示: 图示波器中的信号与眼图 如果示波器的整个显示屏幕宽度为100ns,则表示在示波器的有效频宽、取样率及记忆体配合下,得到了100ns下的波形资料。但是,对于一个系统而言,分析这么短的时间

内的信号并不具有代表性,例如信号在每一百万位元会出现一次突波(Spike),但在这100ns时间内,突波出现的机率很小,因此会错过某些重要的信息。如果要衡量整个系统的性能,这么短的时间内测量得到的数据显然是不够的。设想,如果可以以重复叠加的方式,将新的信号不断的加入显示屏幕中,但却仍然记录着前次的波形,只要累积时间够久,就可以形成眼图,从而可以了解到整个系统的性能,如串扰、噪声以及其他的一些参数,为整个系统性能的改善提供依据。 分析实际眼图,再结合理论,一个完整的眼图应该包含从“000”到“111”的所有状态组,且每一个状态组发生的次数要尽量一致,否则有些信息将无法呈现在屏幕上,八种状态形成的眼图如下所示: 图眼图形成示意图 由上述的理论分析,结合示波器实际眼图的生成原理,可以知道一般在示波器上观测到的眼图与理论分析得到的眼图大致接近(无串扰等影响),如下所示:

眼图分析

眼图测试及其疑难问题探讨 关键词:DWDM,眼图,城域网,MAN 摘要:目前,在长途干线和城域网中,密集波分复用(DWDM)系统的应用越来越多,对DWDM 系统的光接口测试要求也越来越高,其中包括光发送信号的眼图测试。在实际进行眼图测试时,经常遇到不符合标准模板的情况,在不断实践中发现,其中大部分是因为测试方法不完善造成的误判断,只有小部分真正不符合ITU-T规范。文章介绍正确测试眼图的要点。 1、码间串扰的形成 1.1光纤线路码 在光纤数字传输中,一般不直接传输由电端机传送来的数字信号,而是经过码型变换,变换成适合在光纤数字传输系统中传输的光纤线路码(简称线路码)。 有多种线路码型,最常用的有mBnB分组码、插入比特码和简单扰码。在选择线路码时,不仅要考虑光纤的传输特性,还要考虑光电器件的特性。一般来说,由于光电器件都有一定的非线性,因此采用脉冲的“有”、“无”来表示“1”和“0”的二进制码要方便得多。但是简单的二进制信号有三个实际问题需要解决,否则无法取得良好效果。a)不能有长连“0”或长连“1”出现。因为长连“0”和长连“1”会使定时信息消失,给再生中继器和终端接收机的定时提取带来困难。b)简单的二进制码中含有直流成分,“0”、“1”码出现个数的随机变化会使直流成分的大小也随机变化。目前,在光接收机中普遍采用交流耦合,直流成分的变化会引起信号基线浮动,给判决再生带来困难。c)简单的二进制信号在业务状态下无法监测线路误码率。为此,在光纤传输之前,需将简单二进制信号变换成适合光纤传输系统的光纤线

路码型。CCITT最终采用简单扰码方式(如RZ、NRZ码),目前又有基于RZ码新的编码方式,如CS-RZ、DCS-RZ、CRZ、D-RZ、DPSK-RZ码等。 1.2线性网络的无失真传输条件 密集波分复用(DWDM)的工作原理是:发送端将不同波长的光信号通过光合波器合成一束光,送入光纤中进行传输;在接收端由光分波器将这些不同波长的光信号区分开来,再经过光电转换送入线路终端设备。这个过程既包括光通道也包括电通道。 对于光通道来说,主要是光纤的色散和非线性效应引起传输的光脉冲展宽,导致“0”、“1”判决出错,增加了传输误码率。通过运用色散补偿光纤、色散斜率补偿技术等色散管理来降低光纤的色散。对于光纤非线性效应,一般可通过降低入纤功率,采用新型大孔径光纤、喇曼放大、奇偶信道偏振复用等方法加以抑制。采用特殊的码型调制技术也可有效提高光脉冲抵抗非线性效应的能力,增加非线性受限传输距离,从而达到光通道的无失真传输这种理想化的状态。 对于电通道来说,实际传输中无法满足无失真传输条件,特别是由于信道频率特性不理想,使矩形脉冲在经过传输后有明显的上升时间和下降时间,会使波形有明显展宽。每个符号(码元)在时间上前后展宽会对其前后符号(码元)造成干扰,通常把这类干扰称为符号(或码元)间干扰,它会引起传输系统的误码率恶化。 1.3时域均衡 系统线性失真引起的符号间干扰是影响传输质量的主要因素。线性失真的主要原因是发送滤波器、接收滤波器及信道共同组成的波形形成系统的传递函数偏离理想状态。在不考虑噪声影响时,大多数高、中速数字数据传输设备的判决可靠性都建立在消除取样点的符号间干扰的基础上,按此要求建立的线性失真补偿系统称为时域均衡器,其原理是利用接收波形本身进行补偿,消除取样点的符号间干扰,提高判决的可靠性。 时域均衡系统结构如图1所示。 图1时域均衡系统结构

相关文档
最新文档