可编程数字系统设计基础

可编程数字系统设计基础
可编程数字系统设计基础

东南大学电工电子实验中心

实验报告

课程名称:数字逻辑电路实践

第六次实验

实验名称:可编程数字系统设计基础

院(系):专业:

姓名:学号:

实验室: 实验组别:

同组人员:实验时间:09年12 月28 日评定成绩:审阅教师:

1、申请题目:

健身自行车控制器

设计一个健身房使用的健身自行车控制器。输入采用4*4键盘,显示采用4位数码管,其中最高位显示训练强度,低三位显示时间。

基本功能:

1.使用者骑上自行车时,控制器处于初始状态。按“F”键启动控制器,此时四位数码管显示”0000“。

2用户输入从0~9中的任意数字,以改变训练强度,按”E”键确认。选定的训练强度显示在数码管的最高位。

3训练强度选择完成之后,数码管低三位显示“0:00”。通过数字键盘输入数字,以决定训练的时间,输入时数字顺序是从左到右。如果输入正确,按下“E”键确认。如果使用者输错了时间,可以按“C ”清除,显示恢复“0:00”的状态。训练时间输入完成后,按下“F”键开始训练。此时4位数码管的低三位显示时间从设定的时间倒计时到“0:00”。在最后10s时,发光二极管亮1s暗1s.当计时到设定的时间,控制器恢复到初始状态,此时定时器显示“0:00”,训练强度为前面设定的值。

5、状态流程图:

(下面图片位置不能改了,顺序换下)

系统工作状态流程

从图中看共有9个状态,借助前第六章的自行车状态编码,仍将其四位编码,依次往下,前八个状态的最高为均为0,仅最后一个状态码的最高位为1,状态编码标于图中。

2、 状态机设计思路:

画出其操作流程图并注明操作之间条件:

非F 键 0~9键

F 键

非E 键

E 键

任意键

0000

0001 0010

?功能、指标、规模

启动控制器:在初始状态下,按下“F”键即能启动

清零复位:用户在输入时间时不慎输错,按C键可实现清零复位,由设定时间递减计数到0,数码管显示00,即清零状态;

改变训练强度和训练时间

输入数字:用户按下0~9中的数字来改变训练强度和训练时间,按“E”键确认,C键清零重新输入,F键启动。

开始训练有设定时间计时到0:设定好之后按F键即开始训练,计数器递减计数

最后10s发光二极管亮一秒暗一秒:在最后10s内有一个信号启动二极管工作

?面板(显示)、操作、规则

数码管第一位显示训练强度,后三位显示时间。数字由4*4键盘输入,当输入合法时,在相应数码管显示对应输入值。

状态按“F”键四位数码管显示清零,非”F”键四位数码管状态保持;按下F键后按0~9中的任一数字,第一个数码管显示按下数字,按下非0~9键数码管清零状态保持;若按下0~9中的数字,再按下E键即确认,否则回到清零状态;按下“E”键后按0~9中任意数字,第二个数码管显示按下数字,按非0~9键,数码管显示状态不变;按下0~9键即第二个数码管显示正常,按下0~5中的数字,第三个数码管显示按下数字,按下C键三个时间数码管都清零,显示“0:00”,按下非0~5,非C键数码管显示状态不变;按下0~5键即第三个数码管显示正常,按下0~9中的数字,第四个数码管显示按下的数字,按下C键三个数码管都清零,显示“0:00”,按下非0~9,非C键数码管显示状态不变;按下0~9按“E”键确认后按F键开始训练即数码管显示时间递减,否则数码管状态保持。

?输入、输出接口

输入数字接口由4*4键盘和键盘电路实现;

各种状态转换由行列寄存器和ROM及译码电路实现;

数字输出由ROM和四个计数器实现。

3、实现方案:

?核心问题

1.键盘

2.实现模600递减计数

3.状态之间的转换

?解决方案

1.键盘电路

如下所示:

2.模600递减计数器

3.用rom实现(具体见后面rom编码)

4、系统结构:

系统框图

总的自行车电路由模600递减计数器,键盘电路,ROM ,译码电路,强度寄存器构成。见下图:

模块功能描述

模600递减计数器:用来计时,由用户设定的时间递减计数到0;

行列式键盘:将输入数字由键盘实现;

寄存器(计数器):将送入数码管的数据进行寄存,使其输出稳定;

译码电路:实现八个状态之间的分别控制;

(组合逻辑(与非门):计时至最后10s时,由模600计数器输出一信号加至与非门输出控制二极管发光报警。

消抖同步化电路:行列式键盘中)

?模块接口标注(参数、协议)

6、各主要模块图与仿真波形

?各模块的仿真波形,详细注释输入输出功能端口,波形意义

键盘部分仿真:

RSM(r3~r0):行扫描信号

CJC(c3~c0):列检测信号

ROW(A7~A4):行信号寄存器,161输出,输入ROM的

COL(A3~A0):列信号寄存器,161输出,输入ROM的

CLK74:触发器时钟

CLK194:194时钟(扫描)

RcCR:行列寄存器的清零端,这里仿真时让其置于1

从RSM可知扫描信号正常,即信号在1110,0111,1011,1101 之间变化,CJC信号输出显示在没有键按下时,列始终为1。

令列中的C0为0,模拟检测到有键被按下,这时扫描应停止,行列信号值均被输出送入行列寄存器寄存,从图中可见,RSM的确停止,这时行信号值13,列信号值14被送入寄存器

寄存,可见键盘功能正常。

模600递减计数器仿真:

QHH:最高位时间

QH:中间时间

QL:低位时间

RCOMHH:高位161的进位信号

RCOMH:中间161的进位信号

RCOML:低位161的进位信号

从QL波形可知:低位计数器实现了模10的递减计数功能,并在递减到0时产生一个高电平借位信号RCOML; 中间的计数器也实现了模6的递减计数功能,并在递减到0时产生一个高电平借位信号RCOMH;高位计数器实现了模10 的递减计数功能,并且在递减到0时产生一个高电平借位信号。三个计数器级联实现了模600的递减功能。

7、设计总结

?预期的目标与当前实现功能的差异

没有能够在实验箱上验证成功。

?调试过程中出现的问题分析及其解决措施

开始键盘电路仿真不成功,调整时钟周期后就行了。

?可以进一步发挥提高的部分

基本功能都没有完成。。。

?设计体会

看似简单的电路用软件做也很麻烦,一个人设计解决很辛苦。键盘编码;

本题ROM编码:

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

数字电路与逻辑设计

专升本《数字电路与逻辑设计》作业练习题6 解析与答案 一、单选题(选择最合适的答案) 1. 哪种逻辑门“只有在所有输入均为0时,输出才是1”? () A.或非门B.与非门C.异或门D.与或非门 答案:A 解析: 或非门 2.设两输入“与非”门的输入为x和y,输出为z,当z=1时,x和y的取值一定是() A. 至少有一个为1 B. 同时为1 C. 同时为0 D. 至少有一个为0 答案:D 解析: 与非逻辑 3. 两输入与非门输出为0时,输入应满足()。 A.两个同时为1 B.两个同时为0 C.两个互为相反D.两个中至少有一个为0 答案:A 解析:输入全为1 4. 异或门的两个输入为下列哪—种时,其输出为1? A.1,l B.0,1 C.0,0 D.以上都正确 答案:B 解析: 输入不同 5. 下列逻辑门中哪一种门的输出在任何条件下都可以并联使用?()A.具有推拉式输出的TTL与非门B.TTL集电级开路门(OC门) C.普通CMOS与非门D.CMOS三态输出门 答案:B 解析: A,C普通与非门不能并联使用; D三态输出门并联使用是有条件的:它们的使能端(控制端)必须反向,即只能有一个门处于非高阻态

ADABB 二、多选题(选择所有合适的答案) 用TTL 与非门、或非门实现反相器功能时,多余输入端应该( ) A .与非门的多余输入端应接低电平 B. 或非门的多余输入端应接低电平 C. 与非门的多余输入端应接高电平 D. 或非门的多余输入端应接低高平 答案:BC 解析: 多余输入端对与逻辑要接1,对或逻辑要接0 三、简答题 1. 分析如下两个由或非门、异或门、非门以及与非门构成的逻辑电路,请你:①写出F1和F2的逻辑表达式;②当输入变量A ,B 取何值时,两个电路等效? 答案:{ ① 根据图可写出两个电路的输出函数表达式分别为: 12()F A A B A A B A A B A A B A AB AB A A B F AB A B =⊕+=?++?+=??+++=+==+ = ②列出两个电路的真值表: 可见,无论A,B 取任何值,两个电路都等效。 }

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

数字电路与逻辑设计试题与答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于 ______偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A-B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1=______,其约束方程为:_____ _。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___ 个输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y =______;Y 3 =_____ 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F *为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()() D C B A ++ 1 A B 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B. 每个与项中含有的变量个数少 C. 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

可编程数字系统设计基础

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路实践 第六次实验 实验名称:可编程数字系统设计基础 院(系):专业: 姓名:学号: 实验室: 实验组别: 同组人员:实验时间:09年12 月28 日评定成绩:审阅教师:

1、申请题目: 健身自行车控制器 设计一个健身房使用的健身自行车控制器。输入采用4*4键盘,显示采用4位数码管,其中最高位显示训练强度,低三位显示时间。 基本功能: 1.使用者骑上自行车时,控制器处于初始状态。按“F”键启动控制器,此时四位数码管显示”0000“。 2用户输入从0~9中的任意数字,以改变训练强度,按”E”键确认。选定的训练强度显示在数码管的最高位。 3训练强度选择完成之后,数码管低三位显示“0:00”。通过数字键盘输入数字,以决定训练的时间,输入时数字顺序是从左到右。如果输入正确,按下“E”键确认。如果使用者输错了时间,可以按“C ”清除,显示恢复“0:00”的状态。训练时间输入完成后,按下“F”键开始训练。此时4位数码管的低三位显示时间从设定的时间倒计时到“0:00”。在最后10s时,发光二极管亮1s暗1s.当计时到设定的时间,控制器恢复到初始状态,此时定时器显示“0:00”,训练强度为前面设定的值。 5、状态流程图: (下面图片位置不能改了,顺序换下) 系统工作状态流程

从图中看共有9个状态,借助前第六章的自行车状态编码,仍将其四位编码,依次往下,前八个状态的最高为均为0,仅最后一个状态码的最高位为1,状态编码标于图中。 2、 状态机设计思路: 画出其操作流程图并注明操作之间条件: 非F 键 0~9键 F 键 非E 键 E 键 任意键 0000 0001 0010

数字电路与逻辑设计(人民邮电出版社)课后答案(邹红主编)

1-1将下列二进制数转换成等值的十进制数和十六进制数。 (1)(1101010.01)2; (3)(11.0101)2; (2)(111010100.011)2; (4)(0.00110101)2; 解:二进制数按位权展开求和可得等值的十进制数;利用进制为2k数之间的特点可以直接将二进制数转换为等值的十六进制数。 (1)(1101010.01)2=1×26+1×25+1×23+1×21+1×2-2 =(106.25)10=(6A.4)16 (2)(111010100.011)2=1×28+1×27+1×26+1×24+1×22+1×2-2+ 1×2-3=(468.375)10=(1D4.6)16 (3)(11.0101)2=1×21+1×20+1×2-2+1×2-4 =(3.3125)10=(3.5)16 (4)(0.00110101)2=1×2-3+1×2-4+1×2-6+1×2-8 =(0.20703125)10=(0.35)16 1-2将下列十进制数转换成等值的二进制数、八进制数和十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(378.25)10; (3)(56.7)10; (2)(194.5)10; (4)(27.6)10; 解法1:先将十进制数转换成二进制数,再用进制为2k数之间的特点可以直接将二进制数转换为等值的八进制数和十六进制数。 (1)(378.25)10=(101111010.0100)2=(572.2)8=(17A.4)16 (2)(194.5)10=(11000010.1000)2=(302.4)8=(C2.8)16

(3)(56.7)10 =(111000.1011)2=(70.54)8=(38.B )16 (4)(27.6)10 =(11011.1001)2=(33.44)8=(1B.9)16 解法 2:直接由十进制数分别求二进制、八进制和十六进制数。由于二进制 数在解法 1 已求出,在此以(1)为例,仅求八进制数和十六进制数。

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

数字电路与逻辑设计课程设计

课程设计说明书 课程设计名称数字电路与逻辑设计 专业计算机科学与技术 班级150403班 学生姓名陆文祥 指导教师宋宇 2016 年12 月19 日

课程设计任务书

题目:1.简易数字电子钟的设计与制作 2.简易数字频率计的设计与制作 3.简易智力竞赛抢答器的设计与制作 4.简易玩具电子琴的设计与制作 5.自选题目:自动电子钟 目录 设计实验一 (4) 设计实验二 (8) 设计实验三 (11) 设计实验四 (15) 自选题目 (20)

设计实验一 一、实验题目: 简易数字电子钟的设计与制作 二、设计目的 1、了解计时器主体电路的组成及工作原理; 2、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 3、熟悉集成电路及有关电子器件的使用; 三、实验要求 要求设计一个能显示两位秒信号的数字电子钟,分电路设计、电路安装、电路调测三个阶段完成。 四、实验内容 (一).设计原理思路: 本次设计以数字电子为主,分别对时钟信号源、秒计时显示、分计时显示、小时计时显示进行设计,然后将它们组合,来完成时、分、秒的显示并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,如74ls90、74ls48,LED数码管及各种门电路和基本的触发器等,电路使用直流电源供电,很适合在日常生活中使用数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。数字电子钟由以下几部分组成:六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部分等。 (二)实验电路图 图1 数字电子钟

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字集成电路——电路系统与设计 项目

Digital Integrated Circuits – A Design Perspective 2/e Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikoli? Chapter 11 and 6 Design Project: 32-bit Arithmetic Logic Unit (Phase 1) 1.Designing a 32-bit atithmetic-logic unit – Background Arithmetic-logic units are the heart of any microprocessor. This semester, we will design the critical part of a 32-bit ALU. 1.1.High level structure The high-level block diagram of a high-performance ALU is shown in Figure 1. ALU’s have four major parts: ?Arithmetic block: This block is used to perform arithmetic operations such as addition, subtraction and comparison. The core of the arithmetic block is an adder. In the architecture presented in Figure 1, the adder uses carry look-ahead and sum-select techniques (the blocks labeled CARRYGEN, SUMGEN and SUMSEL). ?Logic block: This block is used to perform simple bitwise logic operations such as AND (masking), OR and XOR (the block labeled LU in Figure 1) ?Multiplexers: These blocks are used to select the appropriate inputs for the arithmetic and logic blocks. Usually more than two buses arrive at the inputs of the ALU (9 buses in Figure 1, selected by 9:1 MUX’s). Sometimes these multiplexers are used to perform some simple logic operations. The 5:1 MUX is a programmable shifter: its inputs contain

数字电路第8章 数字系统设计基础-习题答案

第8章数字系统设计基础 8.1 数字系统在逻辑上可以划分成哪两个部分?其中哪一部分是数字系统的核心? 解:数字系统在逻辑上可以划分成控制器和数据处理器两部分,控制器是数字系统的核心。 8.2 什么是数字系统的ASM图?它与一般的算法流程图有什么不同?ASM块的时序意义是什么?解:算法状态机(ASM)是数字系统控制过程的算法流程图。它与一般的算法流程图的区别为ASM 图表可表示事件的精确时间间隔序列,而一般的算法流程图只表示事件发生的先后序列,没有时间概念。ASM块的时序意义是一个ASM块内的操作是在一个CLK脉冲作用下完成的。 8.3 某数字系统,在T0状态下,下一个CLK到,完成无条件操作:寄存器R←1010,状态由T0→ T1。在T1状态下,下一个CLK到,完成无条件操作:R左移,若外输入X=0,则完成条件操作:计数器A←A+1,状态由T1→T2;若X=1,状态由T1→T3。画出该系统的ASM图。 解:ASM图表如图所示 8.4 一个数字系统在T1状态下,若启动信号C=0,则保持T1状态不变;若C=1,则完成条件操作: A←N1,B←N2,状态由T1→T2。在T2状态下,下一个CLK到,完成无条件操作B←B?1,若M=0,则完成条件操作:P右移,状态由T2→T3;若M=1,状态由T2→T4→T1。画出该数字系统的ASM图。 解:ASM图表如图

8.5 控制器状态图如题图8.5所示,画出其等效的ASM图。 题图8.5 解:ASM图 8.6 设计一个数字系统,它有三个4位的寄存器X、Y、Z,并实现下列操作: ①启动信号S出现,传送两个4位二进制数N1、N2分别给寄存器X、Y; ② 如果X>Y,左移X的内容,并把结果传送给Z; ③如果X

数字电路与逻辑设计习题_2016

一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A .(256)10 B .(127)10 C .(128)10 D .(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。 A .A+ B B.A+ C C.(A+B )(A+C ) D.B+C 9.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑1。 A A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 11.十进制数25用8421BCD 码表示为 B 。 A .10 101 B .0010 0101 C .100101 D .10101 12.不与十进制数(53.5)10等值的数或代码为 C 。 A .(0101 0011.0101)8421BCD B .(35.8)16 C .(110101.11)2 D .(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫描期 14.与八进制数(47.3)

数字集成电路设计流程介绍

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程1) 数字集成电路设计流程介绍 唐长文 2002年7月8日

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程2) 内容 一、设计流程介绍1、流程图及设计步骤2、EDA软件 二、硬件描述语言简介 1、传统自下向上的设计方法 2、基于硬件描述语言的自顶向下的设计方法 3、硬件描述语言--VHDL介绍 4、VHDL语言设计实例 三、数字系统的结构设计-行为级或RTL级设计1、系统规范2、系统框架 3、系统源代码设计 4、系统行为级仿真 四、数字系统的电路设计--门级电路设计1、FPGA逻辑综合2、ASIC逻辑综合3、综合后仿真 五、数字系统的版图设计1、FPGA器件实现 2、基于标准单元ASIC版图的自动化生成 3、版图后仿真 六、版图验证和管子级仿真1、DRC&LVS 2、Star_sim管子级仿真

一、设计流程介绍 C语言仿真Matlab仿真COSSAP仿真

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程4) 数字集成电路设计主要分为四大步:1、行为级、RTL 级源代码设计2、电路设计-门级电路设计(1)FPGA 逻辑综合(2)ASIC 逻辑综合3、版图设计 (1)FPGA 版图布局布线设计(器件实现) (2)ASIC 版图布局布线设计(基于标准单元库)4、版图验证(DRC&LVS) ?设计的步骤

2002 年版权,复旦大学专用集成电路与系统国家重点实验室 (设计流程5) ?EDA 软件 (1)FPGA 设计需要的软件源代码设计和仿真9Active-HDL FPGA 逻辑综合 9Synopsys FPGA Express 、Synplicity Synplify 、 Examplar LeonardoSpectrum 、XST(Xilinx Synthesis Tech)FPGA 器件实现 9Xilinx Foundation ISE 、Altera MaxplusII

湖大数字电路与逻辑设计试卷答案

数字电路与逻辑设计1_3试卷和答案 一、填空(每空1分,共45分) 1.Gray码也称循环码,其最基本的特性是任何相邻的两组代码中,仅有一位数码不同,因而又叫单位距离码。 2.二进制数转换成十进制数的方法为:按权展开法。 3.十进制整数转换成二进制数的方法为:除2取余法,直到商为 0 止。4.十进制小数转换成二进制数的方法为:乘2取整法,乘积为0或精度已达到预定的要求时,运算便可结束。 5.反演规则:对于任意一个逻辑函数式F,如果将其表达式中所有的算符“·”换成“ + ”,“ + ”换成“·”,常量“0”换成“ 1 ”,“ 1 ”换成“0”,原变量换成反变量,反变量换成原变量,则所得到的结果就是。称为原函数F的反函数,或称为补函数 6.n个变量的最小项是n个变量的“与项”,其中每个变量都以原变量或反变量的形式出现一次。对于任何一个最小项,只有一组变量取值使它为 1 ,而变量的其余取值均使它为 0 。 7.n个变量的最大项是n个变量的“或项”,其中每一个变量都以原变量或反变量的形式出现一次。对于任何一个最大项,只有一组变量取值使它为 0 ,而变量的其余取值均使它为 1 。 8.卡诺图中由于变量取值的顺序按格雷码排列,任何几何位置相邻的两个最小项,在逻辑上都是相邻的。,保证了各相邻行(列)之间只有一个变量取值不同。 9.卡诺图化简逻辑函数方法:寻找必不可少的最大卡诺圈,留下圈没有变化的那些变量。求最简与或式时圈 1 、变量取值为0对应反变量、变量取值为1对应原变量;求最简或与式时圈 0 、变量取值为0对应原变量、变量取值为1对应反变量。 10.逻辑问题分为完全描述和非完全描述两种。如果对于输入变量的每一组取值,逻辑函数都有确定的值,则称这类函数为完全描述逻辑函数。如果对于输入变量的某些取值组合逻辑函数值不确定,即函数值可以为0,也可以为1(通常将函数值记为?或×),那么这类函数称为非完全描述的逻辑函数。 11.数字集成电路按其部有源器件的不同可以分为两大类:双极型晶体管集成电路和MOS(Metal Oxide Semiconductor)集成电路。 12.TTL集成电路工作速度高、驱动能力强,但功耗大、集成度低; MOS 集成电路集成度高、静态功耗低。 13.按集成电路部包含的等效门个数可分为:小规模集成电路(SSI-Small Scale Integration),中规模集成电路(MSI-Medium Scale Integration),大规模集成电路(LSI-Large Scale Integration),超大规模集成电路(VLSI-Very Large Scale Integration) 二、判断题(在括号中打×或√;每题3分,共15分) 1.集电极开路门和三态门是不允许输出端直接并联在一起的两种TTL门。(×)2.用集电极开路门可以构成线与逻辑。 ( √ ) 3.普通TTL门的输出只有两种状态——逻辑 0 和逻辑 1,这两种状态都是高阻输出。三态逻辑(TSL)输出门除了具有这两个状态外,还具有低阻输出的第三状态(或称禁止状态),这时输出端相当于短路。(× ) 4.TTL电路和CMOS电路接口时,无论是用TTL电路驱动CMOS电路还是用CMOS电路驱动TTL 电路,驱动门都必须为负载门提供合乎标准的高、低电平和足够的电流(√)5.若F的对偶式为G;则G的对偶式为F。(√)

数字电路与逻辑设计复习资料(含答案)

数字电路与逻辑设计复习资料 一、单项选择题 1. 十进制数53转换成八进制数应为( B )。 A. 64 B.65 C. 66 D. 110101 2.将十进制数(18)10 转换成八进制数是(B )。 A. 20 B.22 C. 21 D. 23 3. 十进制数53转换成八进制数应为( D )。 A. 62 B.63 C. 64 D. 65 4. 当逻辑函数有n 个变量时,共有( D )种取值组合。 A. n B. 2n C. 2n D. 2n 5. 为了避免干扰,MOS 与门的多余输入端不能( A )处理。 A. 悬空 B. 接低电平 C. 与有用输入端并接 D. 以上都不正确 6. 以下电路中可以实现“线与”功能的有( C )。 A. TTL 与非门 B. TTL 或非门 C. OC 门 D. TTL 异或门 7. 用6264型RAM 构成一个328K ?位的存储器,需要( D )根地址线。 A. 12 B. 13 C. 14 D. 15 8. 同步时序电路和异步时序电路比较,其差异在于后者( B )。 A. 没有触发器 B. 没有统一的时钟脉冲控制 C. 没有稳定状态 D. 输出只与内部状态有关 9. 用6264型RAM 构成3232K ?位的存储器,需要( D )片进行扩展。 A. 4 B.8 C. 14 D.16 10. 逻辑函数()F A A B =⊕⊕ =( D )。 A. A B e B. A C. A B ⊕ D. B 11. 函数F ABC ABCD =+的反函数为( C )。 A. ()()F A B C A B C D =+++++ B. ()()F ABC ABCD =

相关文档
最新文档