实验三 3-8译码器的功能测试及仿真

实验三  3-8译码器的功能测试及仿真
实验三  3-8译码器的功能测试及仿真

实验三3-8译码器功能测试及仿真

一、实验目的

1、掌握中规模集成3-8译码器的逻辑功能和使用方法。

2、进一步掌握VHDL语言的设计。

二、预习要求

复习有关译码器的原理。

三、实验仪器和设备

1.数字电子技术实验台1台

2.数字万用表1块

3.导线若干

4.MUX PLUSII软件

5.74LS138集成块若干

四、实验原理

译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。

译码器分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。

1.变量译码器(又称二进制译码器)

用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。

以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

3-8线译码器74LS138逻辑图及引脚排列图

74LS138功能表

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图3-2所示。若在S1输入

端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。

根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可

将一个信号源的数据信息传输到不同的地点。

二进制译码器还能方便地实现逻辑函数,如下图所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC

作数据分配器图 实现逻辑函数图

利用使能端能方便地将两个 3/8译码器组合成一个4/16译码器,如下图所示。

用两片74LS138组合成4/16译码器图

五、实验内容及步骤

1.74LS138译码器逻辑功能测试

将译码器使能端S 1、2S 、3S 及地址端A 2、A 1、A 0 分别接至逻辑电平开关输出口,八个

Y???依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按下表逐输出端0

7Y

2.3-8译码器的VHDL仿真

1)运行该软件,在主菜单中选择File中的New中的Text Editor file文本编辑框,输入与门的VHDL文本:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

ENTITY decoder3_8 IS

PORT(a: IN STD_LOGIC_ VECTOR(DOWNTO 0);

y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END decoder3_8;

ARCHITECTURE one OF decoder3_8 IS

BEGIN

PROCESS (a)

BEGIN

CASE a IS

WHEN "000"=>Y<="00000001";

WHEN "001"=>Y<="00000010";

WHEN "010"=>Y<="00000100";

WHEN "011"=>Y<="00001000";

WHEN "100"=>Y<="00010000";

WHEN "101"=>Y<="00100000";

WHEN "110"=>Y<="01000000";

WHEN "111"=>Y<="10000000";

WHEN OTHERS =>null;

END CASE;

END PROCESS ;

END one;

3进行波形仿真

4.波形分析

六、实验报告要求

1.画出实验线路,把观察到的波形画出来,并标上对应的地址码。。

2.对仿真实验结果进行分析,说明译码器的特点。

实验4 译码器及其应用

实验五译码器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、拨码开关组 5、译码显示器 6、 74LS138×2 CC4511 三、实验内容 1、74LS138译码器逻辑功能测试 将译码器使能端S1、2S、3S及地址端A2、A1、A0分别接至逻辑电平开关输出口,八个Y???依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表6-输出端0 7Y 1逐项测试74LS138的逻辑功能。 图6-1(a)、(b)分别为其逻辑图及引脚排列。 其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。 当S1=1,2S+3S=0时,器件正常工作,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 图6-1 3-8线译码器 74LS138逻辑图及引脚排列 表6-1

2、二进制译码器还能方便地实现逻辑函数,如图6-3所示,实现的逻辑函数是 Z = C B A C B A C B A +++ABC 图6-2 作数据分配器 图6-3 实现逻辑函数

3、码显示译码器及译码显示电路 数据拨码开关的使用。 将实验装置上的四组拨码开关的输出A i、B i、C i、D i分别接至4组显示译码/驱动器CC4511的对应输入口,LE、BI、LT接至三个逻辑开关的输出插口,接上+5V显示器的电源,然后按功能表6-2输入的要求揿动四个数码的增减键(“+”与“-”键)和操作与LE、BI、LT对应的三个逻辑开关,观测拨码盘上的四位数与LED数码管显示的对应数字是否一致,及译码显示是否正常。 a、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图6-5(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 符号及引脚功能 b、BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用CC4511 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图6-6为CC4511引脚排列 其中图6-6 CC4511引脚排列 A、B、C、D—BCD码输入端 a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LED数码管。 LT—测试输入端,LT=“0”时,译码输出全为“1”

黑盒测试实验报告

实验报告书 课程名称:软件测试 实验题目:黑盒测试报告 专业:教育技术学 班级:教技142 学生姓名:安卓 指导老师:郭小雪 所属学期:2017-2018学年第二学期

一、引言 1.1目的 测试报告为三角形问题和找零钱最佳组合问题项目的黑盒测试报告,目的在于总结测试阶段的测试以及分析测试结果。 实验环境 在Windows 2000(SP2) 或Windows XP 操作系统上,使用C++语言,工具作为开发环境(IDE) 实验要求 1.根据给出的程序分别使用等价类划分法、边界值分析法、判定表 方法、因果图法、正交试验法、功能图法、错误推测法来设计相应的测试用例。 2.输入数据进行测试,填写测试用例。 二、实验原理 黑盒测试原理:已知产品的功能设计规格,可以进行测试证明每个实现了的功能是否符合要求。软件的黑盒测试意味着测试要在软件的接口处进行。这种方法是把测试对象看作一个黑盒子,测试人员完全不考虑程序内部的逻辑结构和内部特性,只依据程序的需求规格说明书,检查程序的功能是否符合它的功能说明。因此黑盒测试又叫功能测试。 从理论上讲,黑盒测试只有采用穷举输入测试,把所有可能的输入都

作为测试情况考虑,才能查出程序中所有的错误。实际上测试情况有无穷多个,人们不仅要测试所有合法的输入,而且还要对那些不合法但可能的输入进行测试。这样看来,完全测试是不可能的,所以我们要进行有针对性的测试,通过制定测试案例指导测试的实施,保证软件测试有组织、按步骤,以及有计划地进行。黑盒测试行为必须能够加以量化,才能真正保证软件质量,而测试用例就是将测试行为具体量化的方法之一。具体的黑盒测试用例设计方法包括等价类划分法、边界值分析法、错误推测法、因果图法、判定表驱动法、正交试验设计法、功能图法等。 等价类划分的办法是把程序的输入域划分成若干部分(子集),然后从每个部分中选取少数代表性数据作为测试用例。每一类的代表性数据在测试中的作用等价于这一类中的其他值。该方法是一种重要的,常用的黑盒测试用例设计方法。 1 划分等价类 划分等价类:等价类是指某个输入域的子集合。在该子集合中,各个输入数据对于揭露程序中的错误都是等效的,并合理地假定:测试某等价类的代表值就等于对这一类其它值的测试。因此,可以把全部输入数据合理划分为若干等价类,在每一个等价类中取一个数据作为测试的输入条件,就可以用少量代表性的测试数据。取得较好的测试结果。等价类划分可有两种不同的情况:有效等价类和无效等价类。 有效等价类:是指对于程序的规格说明来说是合理的,有意义的输入数据构成的集合。利用有效等价类可检验程序是否实现了规格说明中

机械测试技术实验报告

《机械测试技术》 实验报告 学院:机械工程与自动化学院专业:机械设计制造及其自动化 学号:姓名 中北大学机械工程系 2012年5月15

实验一:用应变仪测量电阻应变片的灵敏度 一、实验目的 1.掌握电阻应变片的粘贴工艺技术; 2.掌握选择应变片的原则及粘贴质量的检查; 3. 掌握在静载荷下使用电阻应变仪测量方法; 1.掌握桥路连接和电阻应变仪工作原理; 5. 了解影响测量误差产生的因素; 6.为后续电阻应变测量的实验做好在试件上粘贴应变片、接线、防潮、检查等准备工作。 二、实验仪器及设备 常温用电阻应变片;等强度梁试件; 天平秤;砝码;INV1861应变调理器; 千分尺(0~25㎜);INV3018C信号采集分析仪; 防潮用硅胶;游标卡尺; 电烙铁、镊子、砂纸等工具;小台钳、钢尺、划针; 502粘结剂(氰基丙烯酸酯粘结剂);丙酮、乙醇、药棉等清洗器材等。 三、实验原理 电测法的基本原理是:将电阻应变片粘贴在被测构件的表面,当构件发生变形时,应变片随着构件一起变形(ΔL/L),应变片的电阻值将发生相应的变化,通过电阻应变仪,可测量出应变片中电阻值的变化(ΔR/R),并换算成应变值,或输出与应变成正比的模拟电信号(电压或电流),用记录仪记录下来,也可用计算机按预定的要求进行数据处理,得到所需要的应变或应力值。电阻应变片的灵敏度是构件单位应变所引起应变片电阻值的变化量,用S来表示。 本实验中用到的是单臂电桥,即四分之一桥,工作中只有一个桥臂电阻随着被测量的变化而变化,设改电阻为R1,产生的电阻变化量为ΔR,原理如下图所示:

个 则输出电压0U 的值为: 01 4 e u u S =ε 式中, 0u 为输出电压,ε为应变值,e u 为供桥电压,0u 和ε可从分析仪中直接读出, e u 在应变仪中读出,S 为实验所求。 四、实验方法与实验步骤 1.选片。目测电阻应变片有无折痕、断丝、霉点、锈点等缺陷,缺陷应变片不能粘贴,必须更换。 2.测片。用数字万用表或电桥精确测量应变片电阻值的大小。注意:不要用手或不干净的物品直接接触应变片基底。测量时应放在干净的书面上,不能使其受力,应保持平直。记录各个应变片的阻值,要求应变片阻值精确到小数点后一位数字。对于标称电阻为120Ω的应变片,测量时数字万用表必须打到200Ω档位上,所测电阻值为原始电阻。要求同一电桥中各应变片之间阻值相差均不得大于0.5Ω,否则需要更换。 3.试件表面处理。实验所用试件为等强度梁,为使粘贴牢固,必须对试件表面进行处理,处理过程如下: (1)用细砂纸在等强度梁表面需贴片处打磨,打磨方向与贴片轴线位置成45度交叉。如等强度梁上有以前贴好的应变片,先用小刀铲掉。应变片为一次性消耗材料,粘贴后再起下来不能再用。 (2)用棉花球蘸丙酮、乙醇擦洗表面的油污和锈斑,直到干净再自行晾干。 (3)然后用划针在贴片处划出十字线,作为贴片坐标,再用棉球擦一下。 (4)打磨好的表面,如暂时不贴片,可涂以凡士林等防止氧化。 4.贴片。贴片过程如下: R1+δR R2 R4 R3 U e B D R2 A B C D R1 R4 R3 C 0

实验三74ls139译码器实验

实验三 译码器实验 一、实验目的 1、掌握中规模集成电路译码器的工作原理及逻辑功能。 2、学习译码器的灵活应用。 二、实验设备 1、SAC-DS4数字逻辑电路实验箱 1个 2、74LS138 3-8线译码器 2片 3、74LS20 双四输入与非门 1片 三、实验内容与步骤 (一)测试74LS139的逻辑功能。 图1 74LS139集成电路引脚图 实验步骤: 1). 接线:按图1的引脚接线,测试单个2—4译码器的功能(只接74LS139芯片中的一个译码器), 1B 、1A 、1E 输入端接逻辑电平信号,1Y 0、1Y 1 、1Y 2 、1Y 3输出端接指示灯。 2).测试:当E=1时,看四个输出信号的逻辑电平是否全“1”。当E=0时,2—4译码器进入正常 工作状态,给1B 、1A 选择信号端加不同组合逻辑电平,观察输出端1Y 0、1Y 1 、1Y 2 、1Y 3所接指示灯的变化,灯亮表示“1”电平,不亮表示“0”电平,请将观测的最后结果记录如下表。 表1 2 —4译码器逻辑功能表 输 入 输 出 E B A Y 0 Y 1 Y 2 Y 3 输出逻辑关系式 1 Χ Χ 0 0 0 0 0 1 0 1 0 0 1 1 3).利用74LS139译码器实现“同或”门电路 Y =30 Y Y ?=30Y Y +=B A B A ?+?=A ⊙B 如下图2所示连接电路,将实验结果填入表中,验证其逻辑关系。是否符合“同或”逻辑门电路的逻辑关系。 图2 用74LS139译码器实现“同或”逻辑门电路接线图和真值 Y o Y 174LS139 Y 2 Y 3 & V cc E A B G Y

软件测试技术实验报告——图书管理系统测试报告

图书管理系统测试报告

1简介 1.1编写目的 本测试报告描述了对图书管理系统的压力测试和对登录和注册功能的黑盒 测试,根据测试结果指导开发人员对软件产品进行完善和优化,给用户提供一份 客观的软件质量报告。本方案的主要读者为软件开发项目管理者、软件工程师、系统维护工程师、测试工程师、客户代表等。 测试流程: 制定测试计划开发测试脚本创建测试场景分析测试结果监视性能指标运行场景测试1.2系统简介 项目名称:图书管理系统 项目简介:本项目探讨了一个基于J2的图书管理系统的设计和实现。基于 J2下的图书管理系统用语言开发处理程序,选择强大的作为开发工具,用交互式 网站界面设计技术( )开发前台界面,后台数据库选择。本系统实现了基本的对书 籍信息、读者信息、借阅信息、归还信息、查询信息进行管理和操作等功能,可 以满足普通用户、管理员的需求。

1.3术语和缩略词参考资料 1)响应时间:客户端从给服务器发送一个请求开始直到完全接受了服务器反馈信息为止,这期间所用的时间称为响应时间。 2)吞吐率:即应用系统在单位时间内完成的交易量,也就是在单位时间内,应用系统针对不同的负载压力,所能完成的交易数量。 3)点击率:每秒钟用户向服务器提交的请求数。 4)图书管理系统项目开发计划,需求规格说明书,概要设计说明书,详细设计说明书。 5)黑盒测试:英文是。又称功能测试或者数据驱动测试。 6)等价划分测试:等价划分测试是根据等价类设计测试用例的一种技术。

2测试概要 2.1测试用例设计 2.1.1黑盒测试: 1)边界值法 用边界值法设计用户注册测试用例: a)先等价划分 b)边界值分析

实验三 3-8译码器的功能测试及仿真

实验三3-8译码器功能测试及仿真 一、实验目的 1、掌握中规模集成3-8译码器的逻辑功能和使用方法。 2、进一步掌握VHDL语言的设计。 二、预习要求 复习有关译码器的原理。 三、实验仪器和设备 1.数字电子技术实验台1台 2.数字万用表1块 3.导线若干 4.MUX PLUSII软件 5.74LS138集成块若干 四、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器) 用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

3-8线译码器74LS138逻辑图及引脚排列图 74LS138功能表 输入输出 S12S+3S A2A1A00Y1Y2Y3Y4Y5Y6Y7Y 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0 0 ×××× 1 1 1 1 1 1 1 1 × 1 ××× 1 1 1 1 1 1 1 1 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输 入数据信息,器件就成为一个数据分配器(又称多路分配器),如图3-2所示。若在S1输入 端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入 数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时 钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可

黑盒测试软件测试实验报告2

软件测试与质量课程实验报告实验2:黑盒测试法实验

缺席:扣10分实验报告雷同:扣10分实验结果填写不完整:扣1 – 10分其他情况:扣分<=5分总扣分不能大于10分 参考代码如下: (1)程序参考答案: #include double main() { int hours; double payment,wage; wage=20; cout<<"please input hours:"; cin>>hours; if(hours>=0&&hours<=168){ if (hours<40) payment=hours*wage ; else if ((hours>=40) && (hours<=50)) payment=40*wage+(hours-40)*1.5*wage; else if (hours>50) payment=40*wage+10*1.5*wage+(hours-50)*3*wage; cout<<"The final payment are:"< void main() { int year; int month,maxmonth=12; int day,maxday; printf("请输入年份:(1000~3000)"); scanf("%d",&year); if(year<1000 || year>3000) { printf("输入错误!请从新输入!\n");

测试技术实验报告3-2017

测试技术实验报告3-2017

实验题目:《测试装置动态特性的测量》 实验报告 第 3 组姓名+学号: 胡孝义 2111701272 付青云 2111701146 黄飞 2111701306 黄光灿 2111701322 柯桂浩 2111701321 李婿 2111701346 邝祎程 2111701312 实验时间:2017年12月29日 实验班级: 实验教师:邹大鹏教授 成绩评定:_____ __ 教师签名:_____ __ 机电学院工程测试技术实验室 广东工业大学 广东工业大学实验报告

一、预习报告:(进入实验室之前完成) 1.实验目的与要求: 目的: 1).了解差动变压器式位移传感器的工作原理 2).掌握测试装置动态特性的测试 3).掌握m-k-c 二阶系统动态特性参数的影响因素 要求: 1).差动变压器式位移传感器的标定 2).弹簧振子二阶系统的阻尼比和固有频率的测量 2.初定设计方案: 根据测量出的弹簧振子欠阻尼二阶系统的阶跃响应曲线来求系统的动态特性:固有频率ωn 和阻尼比ξ。 实验时确定的设计方案: 先将质量振子偏离平衡,具有一定的初始位移,然后松开。该二阶系统在初始位移的作用下,产生一定的输出,位移传感器采集到系统的输出并传输给计算机,生成阶跃响应曲线。该输出是由初始状态引起的,可称之为零输入响应,也可看作是由初始位置到零的阶跃响应。 (1)求有阻尼固有频率ωd ωd =2π/T d (2)求阻尼比ξ 利用任意两个超调量M 和M 可求出其阻尼比,n 是该两个峰值相隔的某一整周期数。计算公式为 ξ=2222n 4n n πδδ+ (3)求无阻尼固有频率ωn 计算出有阻尼固有频率ωd ,阻尼比ξ之后,根据公式可求出系统的固有频率ωn ωd = 2 1ξ ω-d (4)求弹簧的刚度和振子组件的质量 振子组件主要由振子、滑杆、振子位置调节器、阻尼片、传感器连接杆等组成。

实验2 译码器及其应用

实验2 译码器及其应用 一实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法。 2、熟悉数码管使用。 二实验原理 译码器是一个多输入、多输出的组合逻辑电路。他的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。 1、3线—8线译码器74LS138 图5-6-1 表5-6-1 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。

图 利用使能端方便地将两个3---8译码器组合成一个4---16译码器,如图5-6-4所示。 图5-6-4

2数码显示译码器 A、七段发光二极管(LED)数码管 图5-6-5 B、BCD码七段译码驱动器 本实验采用CC4511 BCD码锁存/七段译码/驱动器。驱动共阴级LED数码管。 如图5-6-6所示。Array A0、A1、A2、A3----BCD 码输入端; Ya\Yb\Yc\Yd\Ye\Yf\Yg--- -译码输出端,输出“1” 有效; LT·---测试输入端; BI·---消隐输入端; LE---锁定端。 表5-6-2为CC4511功能表。译码器还有拒伪码功能,当输入码超过1001时,输 出全为“0”,数码管熄灭。

下图是CC4511和LED数码管连接图: 三实验设备 1、+5V直流电源 2、连续脉冲源 3、逻辑电平开关 4、逻辑电平显示器 5、拨码开关组 6、译码显示器 7、74LS138*2 CC4511 四实验内容 1、数码拨码开关的使用。 2、74LS138译码器逻辑功能测试。 3、用74LS138构成时序脉冲分配器 4、用两片74LS138组合成一个4线—16线译码器,并进行实验。

白盒测试和黑盒测试实验报告

软件质量保证与测试 实验指导 计算机工程学院

测试环境配置 1.setting Junit (1) start Eclipse Select windows-preferences-java-build path –class path variables (2) click new, the figure of new variable entry is shown. (3) name JUNIT_LIB

select file-选择JUnit 插件所对应的JAR文件所在地,在Eclipse的安装目录的plugins目录中 2.JUNIT的组成框架 其中,junit.framework 和junit.runner是两个核心包。 junit.framework 负责整个测试对象的框架 junit.runner 负责测试驱动 Junit的框架又可分为: A、被测试的对象。 B、对测试目标进行测试的方法与过程集合,可称为测试用例(TestCase)。

C、测试用例的集合,可容纳多个测试用例(TestCase),将其称作测试包(TestSuite)。 D、测试结果的描述与记录。(TestResult) 。 E、每一个测试方法所发生的与预期不一致状况的描述,称其测试失败元素(TestFailure) F、JUnit Framework中的出错异常(AssertionFailedError)。 JUnit框架是一个典型的Composite模式:TestSuite可以容纳任何派生自Test 的对象;当调用TestSuite对象的run()方法是,会遍历自己容纳的对象,逐个调用它们的run()方法。 3.JUnit中常用的接口和类 Test接口——运行测试和收集测试结果 Test接口使用了Composite设计模式,是单独测试用例(TestCase),聚合测试模式(TestSuite)及测试扩展(TestDecorator)的共同接口。 它的public int countTestCases()方法,它来统计这次测试有多少个TestCase,另外一个方法就是public void run(TestResult ),TestResult是实例接受测试结果,run方法执行本次测试。 TestCase抽象类——定义测试中固定方法 TestCase是Test接口的抽象实现,(不能被实例化,只能被继承)其构造函数TestCase(string name)根据输入的测试名称name创建一个测试实例。由于每一个TestCase在创建时都要有一个名称,若某测试失败了,便可识别出是哪个测试失败。 TestCase类中包含的setUp()、tearDown()方法。setUp()方法集中初始化测试所需的所有变量和实例,并且在依次调用测试类中的每个测试方法之前再次执行setUp()方法。tearDown()方法则是在每个测试方法之后,释放测试程序方法中引用的变量和实例。 开发人员编写测试用例时,只需继承TestCase,来完成run方法即可,然后JUnit获得测试用例,执行它的run方法,把测试结果记录在TestResult之中。 Assert静态类——一系列断言方法的集合 Assert包含了一组静态的测试方法,用于期望值和实际值比对是否正确,即测试失败,Assert类就会抛出一个AssertionFailedError异常,JUnit测试框架将

机械工程测试技术基础实验报告

《机械工程测试技术基础》实验报告 专业 班级学号 姓名 成绩 沈阳理工大学机械工程学院 机械工程实验教学中心 2015年4月

目录 实验一金属箔式应变片——电桥性能实验1 1.1实验内容1 1.2实验目的1 1.3实验仪器、设备1 1.4简单原理1 1.5实验步骤2 1.6实验结果2 1.7思考题4 实验二状态滤波器动态特性实验4 2.1实验内容4 2.2实验目的4 2.3实验仪器、设备5 2.4简单原理5 2.5实验步骤5 2.6实验结果6 2.7思考题11 实验三电机动平衡综合测试实验11 3.1实验内容11 3.2实验目的11 3.3实验仪器、设备11 3.4简单原理12

3.5实验步骤12 3.6实验结果13 3.7思考题15 实验四光栅传感器测距实验15 4.1实验内容15 4.2实验目的16 4.3实验仪器、设备16 4.4简单原理16 4.5实验步骤16 4.6实验结果17 4.5思考题19 实验五 PSD位置传感器位置测量实验19 5.1实验内容19 5.2实验目的19 5.3实验仪器、设备19 5.4简单原理19 5.5实验步骤20 5.6实验结果20 5.7思考题23 -

实验一金属箔式应变片——电桥性能实验指导教师日期 1.1实验内容 1.2实验目的 1.3实验仪器、设备 1.4简单原理

1.5实验步骤 1.6实验结果 表1.1 应变片单臂电桥实验数据表

表1.2 应变片半桥实验数据表 根据实验结果计算单臂和半桥的灵敏度、线性误差、回程误差,在座标纸上分别画出单臂、板桥的输入及输出关系曲线,并在曲线上标出线性误差、回城误差位置:

译码器实验报告

译码器实验报告 实验三译码器及其应用 一、实验目的 1、掌握译码器的测试方法。 2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。 3、掌握用译码器构成 组合电路的方法。4、学习译码器的扩展。 二、实验仪器 1、数字逻辑电路实验板1块 2、74hc138 3-8线译码器2片 3、74hc20 双4输入与非 门1片 三、实验原理 1、中规模集成译码器74hc138 74hc138是集成3线-8线译码器,

在数字系统中应用比较广泛。图3-1是其引脚排列。其中a2 、a1 、a0 为地址输入端,0y~7y为译码输出端,s1、2s 、3s 为使能端。74hc138真值表如下:74hc138引脚图为:74hc138工作原理为:当s1=1,s2+s3=0时,电路完成译码功能,输出低电平有效。其 中: 2、译码器应用 因为74hc138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。 四、实验内容 1、译码器74hc138 逻辑功能测试(1)控制端功能测试测试电路如图:按上表所示条件输入开关状态。观察并记录译码器输出状态。led指示灯亮为0,灯不 亮为1。

(2)逻辑功能测试 将译码器使能端s1、2s 、3s 及地址端a2、a1、a0 分别接至逻辑电平开关输出口,八个输出端y7 ?????y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按 下表逐项测试74hc138的逻辑功能。 2、用74hc138实现逻辑函数y=ab+bc+ca 如果设a2=a,a1=b,a0=c,则函数y 的逻辑图如上所示。用74hc138和74hc20各一块 在实验箱上连接下图线路。并将测试结果下面的记录表中。 3、用两个3线-8线译码器构成4线-16线译码器。利用使能端能方便地将两个3/8译码器组合成一个4/16译码器,如下图所示。 五、实验结果记录:2、74hc138实现逻辑函数y=ab+bc+ca,实验结果记录: 六、实验注意事项

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

软件测试实验报告一

广东*融学院实验报告 课程名称:软件测试 」、实验目的及要求 1、理解测试用例的重要性。 2、熟练掌握等价类划分、边界值方法、决策表和因果图法设计测试用例。 二、实验环境及相关情况(包含使用软件、实验设备、主要仪器及材料等) 1. 使用软件:装有QTP功能测试软件 2 .实验设备:装有Windows的联网的个人计算机 三、实验内容及步骤(包含简要的实验步骤流程) 1、实验题目:登陆框测试 在各种输入条件下,测试程序的登录对话框功能。 用户名和密码的规格说明书如下:(密码规则同用户名规则。) 用户名长度为6至10位(含6位和10 位); 用户名由字符(a-z、A-Z)和数字(0-9)组成; 不能为空、空格和特殊字符。 要求:按照规格说明书,分别用等价类划分和边界值方法设计测试用例。 步骤:(1)分析规格说明书,确定输入条件、输出条件的有效等价类、无效等价类以及各个边界条件;(2)第二步:填表格并编号;(3)第三步:设计测试用例;(4)第四步:执行测试用例。 2、员工薪制冋题。 (1)年薪制员工:严重过失,扣年终风险金的4%,过失,扣年终风险金的2%。 (2)非年薪制员工:严重过失,扣月薪资的8%,过失,扣月薪资的4%。 步骤:(1)分析程序的规格说明,列出原因和结果;(2)找出原因与结果的因果关系、原因与原因之间的约束关系,画出因果图;(3)将因果图转化成决策表;(4)根据决策表,设计测试用例的输入数据和预期输出。

四、实验结果(包括程序或图表、结论陈述、数据记录及分析等,可附页) 等价类划分方法: 五、实验总结(包括心得体会、问题回答及实验改进意见,可附页) 通过本次实验,我理解了测试用例的重要性。熟练掌握了等价类划分、边界值方法、决策表和因果图法设计测试用例。 六、教师评语 1、完成所有规定的实验内容,实验步骤正确,结果正确; 2、完成绝大部分规定的实验内容,实验步骤正确,结果正确; 3、完成大部分规定的实验内容,实验步骤正确,结果正确; 4、基本完成规定的实验内容,实验步骤基本正确,所完成的结果基本正确; 5、未能很好地完成规定的实验内容或实验步骤不正确或结果不正确。 评定等级: 签名:

实验二 译码器及其应用

实验二译码器及其应用 一、实验目的 1、掌握3 -8线译码器、4 -10线译码器的逻辑功能和使用方法。 2、掌握用两片3 -8线译码器连成4 -16线译码器的方法。 3、掌握使用74LS138实现逻辑函数和做数据分配器的方法。 二、实验原理 译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 下图表示二进制译码器的一般原理图: 它具有n个输入端,2n个输出端和一个使能输入端。在使能输入端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n个输入变量的最小项。二进制译码器实际上也是负脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称为多路数据分配器)。 1、3-8线译码器74LS138 它有三个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0~Y7。它还有三个使能输入端E1、E2、E3。功能表见表1,引脚排列见图2。 表1 74LS138的功能表

三、实验设备与器材 1、数字逻辑电路实验箱 2、数字万用表 3、双踪示波器 3、芯片74LS138两片,74LS42、74LS20各一片 四、实验内容及实验步骤 1、74LS138译码器逻辑功能测试 在数字逻辑电路实验箱IC插座模块中找一个DIP16的插座插上芯片74LS138,并在DIP16插座的第8脚接上实验箱的地(GND),第16脚接上电源+5V(VCC)。将74LS138的输出端Y0~Y7分别接到8个发光二极管上(逻辑电平显示单元),输入端接拨位开关输出(逻辑电平输出单元),逐次拨动开关,根据发光二极管显示的变化,测试74LS138的逻辑功能。 2、两片74LS138组合成4线-16线译码器 按下图连线: 将16个输出端接逻辑电平显示(发光二极管),4个输入端接逻辑电平输出(拨位开关),逐项测试电路的逻辑功能。 3、用74LS138实现逻辑函数和做数据分配器 (1)实现逻辑函数

MSI译码器逻辑功能测试

实验三 验证性实验—— MSI 译码器逻辑功能测试 一.实验目的 1. 掌握中规模 (MSI) 集成译码器的逻辑功能和使用方法; 2. 验证 3— 8 线译码器和七段显示译码器的逻辑功能; 3. 掌握数码管与译码器配合使用的方法; 。 二.实验原理 译码器的作用是进行代码间的 “翻译”,将具有特定含义的二进制码进行辨别, 并转 换成控制信号。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 l .变量译码器 (又称二进制译码器 ),用以表示输入变量的状态,如 2 线— 4 线、 3 线— 8 线和 4 线— 16 线译码器。若有 n 个输入变量,则有 2n 个不同的组合状态,就有 2n 个输出端供其使用。例如,有 3 个输入变量 (或称为地址端 ),那么就可以有 23=8 个不同的地址组合,分别为 000、001、010、011、100、101、110、111,可以控制 8 个输出端, 而每一个输出所代表的函数对应于 n 个输入变量的最小项。 Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 16 15 14 13 12 11 10 9 V CC Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 74LS138 A 0 1 A 1 A 2 2 3 S 2 4 S 3 S 1 Y 7 GND 5 6 (b) 7 8 1 1 1 15 14 13 12 11 10 9 7 Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 74LS138 Y 6 Y 7 1 1 1 16 V CC S 1 S 2 S 3 A 0 A 1 A 2 A 0 1 A 1 A 2 2 3 S 1 8 GND 6 S 2 4 S 3 5 (a) 图 3-1 (c) 3—8 线译码器 74LS138 逻辑图及引脚排列 以 3 线— 8 线译码器 74LSl38 为例,图 3-1(a)(b) (c) 分别为其逻辑图及引脚排列。 其中 A 2、A 1、 A 0 为地址输入端, ̄ Y 0 ~ ̄Y 7 为译码输出端, S 1、ˉS 2、ˉS 3 为使能端。表 3-1 为 74LSl38 功能表。 当 S 1=1,ˉS 2+S ˉ3=0 时, 74LS138 工作,地址码所指定的输出端输出 0(被选中 ),其 它输出端均输出 1(未被选中 )。当 S 1=0;ˉS 2+ˉS 3 =×(注:“×”即不论是什么逻辑值的意 思。);或 S 1=×,ˉS 2+ˉS 3=1 时,译码器被禁止,所有输出同时为 l 。 表 3-1 输 S 1 S ˉ2+ ˉS 3 入 A 2 A 1 A 0 Y 0 Y 1 Y 2 输 Y 3 出 Y 4 Y 5 Y 6 Y 7

黑盒测试实验报告记录

黑盒测试实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

黑盒测试实验报告 一实验内容 1、系统地学习和理解黑盒测试的基本概念、原理,掌握黑盒测试的基本技术和方 法; 2、对一个已知的程序进行测试。 3、通过试验和应用,要逐步提高和运用黑盒测试技术解决实际测试问题的能力; 4、完成实验并认真书写实验报告(要求给出完整的测试信息,如测试程序、测试 用例,测试报告等) 二实验原理 黑盒测试原理:已知产品的功能设计规格,可以进行测试证明每个实现了的功能是否符合要求。软件的黑盒测试意味着测试要在软件的接口处进行。这种方法是把测试对象看作一个黑盒子,测试人员完全不考虑程序内部的逻辑结构和内部特性,只依据程序的需求规格说明书,检查程序的功能是否符合它的功能说明。因此黑盒测试又叫功能测试。 从理论上讲,黑盒测试只有采用穷举输入测试,把所有可能的输入都作为测试情况考虑,才能查出程序中所有的错误。实际上测试情况有无穷多个,人们不仅要测试所有合法的输入,而且还要对那些不合法但可能的输入进行测试。这样看来,完全测试是不可能的,所以我们要进行有针对性的测试,通过制定测试案例指导测试的实施,保证软件测试有组织、按步骤,以及有计划地进行。黑盒测试行为必须能够加以量化,才能真正保证软件质量,而测试用例就是将测试行为具体量化的方法之一。具体的黑盒测试用例设计方法包括等价类划分法、边界值分析法、错误推测法、因果图法、判定表驱动法、正交试验设计法、功能图法等。 等价类划分的办法是把程序的输入域划分成若干部分(子集),然后从每个部分中选取少数代表性数据作为测试用例。每一类的代表性数据在测试中的作用等价于这一类中的其他值。该方法是一种重要的,常用的黑盒测试用例设计方法。 1 划分等价类 划分等价类:等价类是指某个输入域的子集合。在该子集合中,各个输入数据对于揭露程序中的错误都是等效的,并合理地假定:测试某等价类的代表值就等于对这一类其它值的测试。因此,可以把全部输入数据合理划分为若干等价类,在每一个等价类中取一个数据作为测试的输入条件,就可以用少量代表性的测试数据。取得较好的测试结果。等价类划分可有两种不同的情况:有效等价类和无效等价类。 有效等价类:是指对于程序的规格说明来说是合理的,有意义的输入数据构成的集合。利用有效等价类可检验程序是否实现了规格说明中所规定的功能和性能。 无效等价类:与有效等价类的定义恰巧相反。 设计测试用例时,要同时考虑这两种等价类。因为,软件不仅要能接收合理的数据,也要能经受意外的考验。这样的测试才能确保软件具有更高的可靠性。 2 边界值分析 边界值分析是通过选择等价类边界的测试用例。边界值分析法不仅重视输入条件边界,而且也必须考虑输出域边界。它是对等价类划分方法的补充。 (1)边界值分析方法的考虑:

实验2 译码器及其应用复习课程

实验2译码器及其应 用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 2011 .11.2 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个

输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中 A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。其工作原理为: Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 表5-6-1

功能测试实验报告-模版

《软件质量保证与测试实验》课程 实验报告 实验2:功能测试和Uft工具使用 学号: 姓名: 班级:

一、实验类型 参照《实验指导书》 一、实验目的和要求 1. 实验目的 参照《实验指导书》 2. 实验要求 参照《实验指导书》 二、实验步骤 参照《实验指导书》 三、实验环境 参照《实验指导书》 四、测试方法 参照《实验指导书》,结合教材内容简单描述所使用的测试方法 五、实验题目和测试用例 (一)实验题目 第1题A加B程序的加法功能测试 这是一个计算1~100之间两个整数之和的加法器程序,用Java语言编写。程序的具体要求:如果输入数据为1~100之间两个整数,则计算和并输出;否则给出提示信息“请输入1~100之间的整数”。 第2题Windows系统自带的计算器程序除法功能测试

(二)设计测试用例 针对每一个题使用等价类划分方法设计测试用例(见附录1) 六、实验过程和记录 (一)第1题的实验过程和记录 (1)准备一个Excel表文件,表名取为“加法-测试参数化表- 学号-姓名”,文件名取为“等价类-1至100加法-测试用例及测试记录-学号-姓名”,内容为根据等价类划分方法设计的测试用例; (2)启动UFT,工作空间命名为学号,在选择插件对话框中勾 选“Java插件”,新建一个测试“EX2-1”并新建解决方案“EX2-1”; (3)在数据视图界面的“数据”选项卡中“Action1”导入Excel 表文件数据; (4)在“Action1”中对数据进行编辑,删除作为标题的第一 行; (5)进行录制脚本设置,设置“可执行文件”为本次实验的A 加B版本1中的APLUSB程序; (6)录制脚本,为输出结果插入检查点,录制完成后在编辑脚本页面修改脚本代码(见附录3); (7)在流程界面中,为Action1设置操作调用属性,将迭代方 式设置为“从行1运行到行23”; (8)运行脚本,记录运行结果,填写测试记录(见附录4)。 注意: (1)成功录制脚本并运行,观察脚本运行情况

相关文档
最新文档