数字密码锁设计报告

数字密码锁设计报告
数字密码锁设计报告

1 设计任务描述

1.1设计题目:数字密码锁

1.2 设计要求

1.2.1 设计目的

(1)掌握数字密码锁的构成、原理与设计方法;

(2)熟悉集成电路的使用方法。

1.2.2 基本要求

(1)设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K0~K9分别代表数字0、1、2、3、4、5、6、7、8、9。

(2)用一位输出电平的状态代表锁的开闭状态(用灯光显示或报警表示)。

1.2.3 发挥部分

(1)可删除输入的数字;

(2)三次错误输入锁定键盘,并发出提示或报警;其它。

2 设计思路

用密码去控制各个D触发器的翻转,达到密码开锁的目的,用按钮开关去控制电子门铃的触发信号,达到按响门铃的目的。四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁,用二极管发光来显示开锁成功。

因电容C2电压不能突变,在接通电源瞬间C2的电压为零,使得N1-N4各位皆为零。

输入三次错误密码时,会由计数器74193来检测,并发出报警信号和锁定键盘的信号。

3 设计方框图数字密码锁设计方框如图3.1所示。

图3.1设计方框图

4各部分电路设计及参数计算

4.1密码的设置电路设计

密码设置和输入密码的电路如图4.1所示。

图4.1密码设置

图中默认的密码为0953,用户可以自行设置密码。共有10个开关可设置0-9个数字的密码,第11个开关为复位开关,当输入错误时可以选择复位,重新输入。其他的开关为干扰密码。

4.2判断密码是否正确的电路的设计

判断密码正误的电路图如图4.2所示。

图4.2判断密码正误

四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁。

4.3判断输入错误密码达到三次的电路设计

计数器电路图如图4.3所示。

图4.3计数器

当输入错误密码时,计数器74193就开始从0计数,当计数达到3次时就用与门来判断发出上升沿脉冲,提供报警和锁键盘的信号。

4.4锁定键盘的电路设计

锁定键盘电路中5G555图如图4.4所示。

图4.4 5G555图

当未加触发脉冲时,输入v保持高电平,即端的电压大于1/3Vcc。设岗接通电源时输出V o为高电平,放电三极管截止,电源经R向C充电。开始时Vc很小,即V TH<2/3Vcc,vo维持高电平,当vc逐渐上升到2/3Vcc时,由于v1>1/3Vcc, V TH<2/3Vcc,使输出vo变为低电平。这时放电三极管T导通,电容C通过T迅速放电,Vc下降,由于此时,V TH<2/3Vcc,v1>1/3Vcc,所以输出V o保持低电平不变,即输出稳定在0状态。

当从V1输入一个触发脉冲时,V1从1到0的跳变,使V TH<1/3Vcc,此时TH端仍为低(<2/3Vcc),故输出V o由0变为1,电路进入暂稳态:V o=1,T管截止,电源经R向C 充电。

在暂稳态期间,V1端的触发脉冲撤销,是V1变为1,且随着电源对C的充电,Vc 按指数规律上升,待Vc到2/3Vcc时,V o由1变为0,暂稳态结束,此时T管导通,电容C迅速放电直至Vc=0,电路自动返回到稳态。

4.5报警电路的设计

报警电路设计如图4.5所示。

图4.5报警

当计数器74193计数达到三次时发出高电平信号,CL9300A获得信号,进而引发铃声。

5 工作过程分析

本次密码锁的设计是基于四位密码设置来设计的,先把要求设置的密码开关键与四个D触发器的CP端连接好。连接电源时,因电容C2电压不能突变,在接通电源瞬间C2的电压为零,使得四个D触发器各位皆为零。

然后开始解锁,当开关闭合,密码正确时,各个D触发器的CP端获得脉冲下降沿,又由于第一个D触发器的D端悬空,故Q1=1,同样使得Q2=1,于是Q1=Q2=Q3=Q4=1,并由Q4=1发出信号到发光二极管发光,表示成功解除密码。

当输入错误密码时,可以闭合开关S11,使得四个D触发器的R置零端获得脉冲下降沿,于是四个D触发器各位皆为零,复位后可以再次输入密码。

当输入错误密码达到三次时,由计数器74193来检测,并发出一个报警信号,另一信号给5G555单稳态触发器,再有单稳态触发器发出持续的置零信号复位,于是键盘就被锁定。键盘锁定的时间可由5G555的R和C来选定。

6 元器件清单

元器件清单如表6.1所示。

表6.1元器件清单

元件序号元件名称规格用途数量

1 开关SW11设置密码和复位11个

2 非门74AS048

3 与非门 1

4 D触发器HCTS74MS/FP 4

5 计数器74191检测输入密码错误次数 1

6 单稳态触发器5G555 1

7 喇叭 1

8 电容0.1uF 3

9 发光二极管 1

10 电阻 4

11 与门 1

7 主要元器件介绍

7.1D触发器

7.1.1D触发器引脚图如图7.1所示。

图7.1D触发器引脚排列图

7.1.2 D触发器功能介绍:

时钟脉冲CP=0时,触发器的状态保持不变,当CP由0变为1时,触发器的状态改变,并由D的状态改变。CLR为低时有效,即当给CLR的信号为0时,输出端为0。

7.2计数器

7.2.1计数器74193引脚排列图如图7.2所示。

U3

74193

图7.2计数器74193

7.2.2计数器74193功能表介绍,如表7.1所示。

表7.1计数器74193功能表

7.3单稳态触发器

7.3.1 5G555单稳态触发器引脚排列图如图7.3所示。

图7.3 5G555单稳态触发器

7.3.2 5G555单稳态触发器功能表如表7.2所示。

7.3.3 5G555单稳态触发器功能表参数的计算如下。

脉冲周期由充电时间pH t 和放电时间pL t

决定,经推算得下列结论。 已知R1=R2=5.1 k Ω,C=0.1μF ,代入以下公式得 充电时间:

pH

t = (R 1+R 2) Cln2=0.7(R 1+R 2) C=0.714ms

放电时间:

pL t = R 2Cln2=0.7R 2C=0.357ms

振动周期:

T= pL t +pH t =0.7(R 1+2R 2) C=1ms

振荡频率:

f=

1pH pL

t t +=

1.43(122)R R C

+=1000HZ

占空比:

q=

pH t T =

12122

R R R R ++=0.667

小结

通过了这学期的数字逻辑的理论学习,终于迎来了为期一周的数字逻辑设计。通过一周紧张而忙碌的课程设计,我最终成功完成了密码锁的设计,并且从中受益匪浅。

在这一周里我深深地体会到没有付出就没有成功,成功的背后都是由汗水铺成的。在这周里,花费时间最多的是各个单元电路的连接及电路的细节设计上,如D触发器的连接、Key值的控制、脉冲产生电路的构想,每设计完一步,还需要反复的实践,其过程很可能相当烦琐。有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,感觉自己对这次设计是那么无能为力,但是最后在老师和同学的帮助下我还是成功了,当看着一个个发光二极管按着我所设计的思路发光时,那种喜悦是无以言喻的。

这次设计我想一方面是为了我们更好的掌握和了解数字电子的有关的知识了解芯片的功能,另一方面我想也锻炼了我们的耐心和毅力,一个人如果没有耐心和毅力他将不会成功,可以说失败的很彻底,一个人的成功永远都是用汗水筑成的。

通过这次课程设计,我想说:为完成这次课程设计我们确实很辛苦,但苦中仍有乐。在这个过程中,我也曾经因为实践经验的缺乏失落过,也曾经仿真成功而热情高涨过。生活就是这样,汗水预示着结果也见证着收获。在这一周里,我虽然苦,虽然累,但是有了老师的指导,同学的帮助,再苦再累都算不了什么。虽然我这一周白天经常往返于图书馆和网吧,画图、分析报告到深夜,但是,当沉甸甸的报告托在手中,那份喜悦与激动是无法用言语形容的。我托着的不仅仅是一份报告的重量,更多的则是收获的成果,那里面承载着学校的希望与寄托,包含着老师的谆谆教诲,遍布了我们探索的足迹。

在这次课程设计过程中,我既加深了数字逻辑的知识,又学到了许多书本之外宝贵的分析动手能力。与其临渊羡鱼,不如退而结网。这次数字逻辑课程设计给我的最大的印象就是如果自己有了兴趣,就动手去做,困难在你的勇气和毅力下是抬不了头的。

通过这次设计,对我而言,知识上的收获重要,精神上的丰收更加可喜。让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆!

致谢

本次课程设计的选题,研究及论文的撰写均是在我们的指导教师的悉心指导下进行的。设计中的每一个环节无不凝聚着老师的心血。老师在数字逻辑电路设计方面的有很多的实践经验,在我们面对问题时对我们的悉心指导及其严谨的工作态度锐意创新的精神,使我们受益匪浅,在此特别向黄硕老师表示深深的感谢和由衷的敬意!

同时也要感谢为我们讲解电子技术基础数字部分的其他老师,辛勤耕作,传道授业,不仅使我们开阔了视野,拓宽了思路,增长了学识,使我们增强了对数字逻辑的兴趣,是她们所教给我们的基础知识为这次设计打下了必不可少的基础,同时也为我们今后专业课的学习和工作打下了牢固的基础。再此也向老师们表示深深的感谢和由衷的敬意!

当然,同学的帮助也是非常大的,在设计原理中我遇到了很多困难,当时很无助,很无助,是同学们安慰我帮助我,让我重拾信心,让我很感动。

同时感谢学校给我们提供这种独立自主研究性学习的机会,充分开发了我们的创新能力。

参考文献

[1] 康华光,邹寿彬,秦臻.电子技术基础.数字部分.华中科技大学电子技术课程组编.北京:高等教育出版社,2008

[2] 刘午平,刘建清.数字电子技术从入门到精通.北京:国防工业出版社,2006

[3] 朱正伟,何宝祥,刘训非.数字电路逻辑设计.北京:清华大学出版社,2006

[4] 朱定华,饶志强,吴建新.现代数字电路与逻辑设计.北京:清华大学出版社;北京交通大学出版社,2007.1

[5] 尹雪飞,陈克安.集成电路速查大全.西安电子科技大学出版社

[6] 赵负图.数字逻辑集成电路手册.北京:化学工业出版社,2004

[7] 何书森,何华斌.实用数字电路原理与设计速成.福建科技技术出版社,2000

附录A1 逻辑电路图

附录A2 实际接线图

基于51单片机的无线电子密码锁课程设计[优质文档]

单片机课程设计报告书 基于89C51单片机 课题名称 无线电子密码锁的设计姓名刘武 学号131220330 学院通信与电子工程学院 专业电子信息工程 指导教师祝秋香讲师 2015年12月20日

基于89C51单片机无线电子密码锁的设计 1 设计目的 (1)了解MCS-51单片机使用方法和熟悉蓝牙模块; (2)掌握AT89C51芯片的使用方法及蓝牙模块的连接与使用; (3)熟悉单片机程序仿真软件Proteus的使用; (4)了解MCS-51单片机开发板工作原理以及芯片的组合; (5)熟悉无线电子密码锁的设计及实现。 2设计思路 2.1 选择设计电路 设计51单片机与蓝牙连接的电路。 2.2 所选设计电路的原因 (1)由于无线电子密码锁的设计需要用到蓝牙模块,用到的单片机资源并不是很多,而51单片机的资源有8位CPU、4KB的ROM、128B的RAM、2个16位定时/计数器、4组8位的I/O、1个串口和5个中断源,可以更有效率的利用到51单片机的资源。 (2)可现实无线功能的模块有:蓝牙模块、无线模块、红外遥控模块,因为相对之下,蓝牙模块更容易实现,更容易操作,最后选择蓝牙模块实现手机远程控制。 (3)为了提高效率,可以利用51单片机开发板的硬件,用LED灯模拟密码锁的开关,当LED灯亮起时代表锁已经开启,熄灭则代表密码锁被关闭。应用在实际中可用继电器替代LED的亮灭去控制强电开关。 3 设计过程 3.1 设计总框图 无线电子密码锁分为两个主要功能模块:51单片机模块,蓝牙实现模块。这两个模块共同工作完成本电路的功能实现。其中利用AT89C51芯片来实现51单片机模块功能,完成数码管、发光二极管的实现,同时利用HC06蓝牙模块来

电子密码锁设计报告概要

课程设 计说明 学生姓名: 学 号: 指导教师: 2013年05月17日 课程名称: 《单片机技术》 设计题目: 基于51单片机的电子密码锁 院(部): 电子信息与电气工程学院 专业班级: 12通信工程(专升本) 马亚林 丁莹亮

课程设计任务书 设计要求: 设计以单片机AT89C51为核心的电子密码锁,包括电子密码锁完整的设计过程以及外围的开锁电路和报警电路的设计。 电子密码锁要完成以下部分的设计:按键接口电路、电子密码锁的控制电路、输出八段显示电路。 电子密码锁控制电路能完成以下功能设计:数字按键的数字输入、存储和清除、功能按键的功能设计、密码的清除和复位、报警信号产生电路密码核对、解除电锁电路、输出八段显示电路完成以下电路设计:数据选择电路、八段显示器扫描电路。 学生应完成的工作: 1.运用Proteus软件设计电路原理图; 2.用Proteus软件进行仿真; 3.焊接电路板并调试实现其功能; 4.完成实习报告; 5.我的任务是设计电路原理图并仿真。 参考文献阅读: [1]杜尚丰.CAN总线测控技术及其应用.北京:电子工业出版社,2007.1 [2]杜树春.单片机C语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6 工作计划: 2013.5.6熟悉课题并查阅相关资料,同时消化吸收资料内容; 2013.5.7―― 2013.5.8 根据设计题目确定硬件设计方案,并交与指导老师修改; 2013.5.9 ―― 2013.5.10 开始着手课题的软件设计,与指导老师进行沟通; 2013.5.13申请领用元器件; 2013.5.14―― 2013.5.17 进行实物制作,并撰写课程设计报告。 任务下达日期:2013年5月6 日 任务完成日期:2013年5月17 日

密码锁课程设计报告

江苏师范大学科文学院本科生课程设计 课程名称:数字电子技术基础 题目:数字密码锁 专业班级: 14电信2班 学生姓名:王坤 学生学号: 148326228 日期: 2016年6月25日 指导教师:魏明生

指导教师签字: 年月日

目录 摘要...................................................................................................II Abstract................................................................................................ II 1 绪论.. (1) 1.1 背景 (1) 1.2 目的 (1) 2 设计方案简述 (1) 2.1 设计任务 (1) 2.2 设计原件需求 (2) 3 详细设计 (2) 3.1 设计原理图 (2) 3.2 密码验证模块 (3) 3.3 计时模块 (4) 3.4 锁定输出 (5) 3.5 逻辑组合 (6) 4 设计结果及分析 (7) 4.1 总电路图 (7) 4.2 问题解决与分析 (7) 4.3 测试数据 (9) 5总结 (10) 参考文献 (11)

摘要 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替了传统的机械式密码锁,电子密码锁具有安全性高、成本低、功耗低、易操作等优点,受到广大用户的欢迎。本次课程设计简述数字密码锁的设计、制作过程,用74LS138为3线-8线译码器、555为计时模块、D触发器74LS175为锁定输出、74LS00为逻辑组合模块构成该数字密码锁的设计思想和实现过程。该课程设计可以预先设定密码和修改密码等方便操作处理,通过发光二级管颜色的转变辨别密码的正确和密码锁的开启。通过该课程设计,主要解决与我们平常生活关系密切的密码锁的问题,且制作该设计所需要元器件的成本较低,适合学生对数字电路的实践所用。 关键词:数字密码锁;74LS138;555;74LS175;74LS00 Abstract In daily life and work, security, residential units and departments of the documents, financial statements, and some personal information in order to save more than locking solutions. If the use of traditional mechanical key to unlock, people often need to carry multiple keys, a very inconvenient to use, and after the loss of key security that is greatly reduced. In the field of security and protection, anti-theft alarm function electronic combination lock gradually replace the traditional mechanical combination lock, electronic lock has the advantages of high safety, low cost, low power consumption, easy to operate, welcomed by the majority of users. The curriculum design of digital code lock design, process, using 74LS138 for 3 -8 decoder, 555 for the timing module, D triggers 74LS175 lock output, 74LS00 logic combination module design idea of the digital password lock and implementation process. The curriculum design can be pre-set password and modify passwords and other convenient operation, opening by discerning the password change light emitting two tubes of color and correct password lock. Through the curriculum design, mainly to solve the daily life with our close locks, and making the design required components with low cost, suitable for students to use the practice of digital circuit. Key words: the digital combination lock; 74 ls138; 555; 74 ls175; 74 ls00

密码锁课程设计

课程设计报告 课程设计题目:4位串行数字密码锁 学号 学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL 语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁 Verilog HDL 2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA系统采用硬件描述语言Verilog按模块化方式进行设计,并用modersim软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: Set

本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag产生一个矩形波,作为连接模块的触发信号。同时key_value值为所按下键的编码值,与flag一同传入连接模块。 实际设计接口图: 当输出kevalue:11值的时候,flag再次出现上跳沿。实际上,上面的图写的测试文件是有一点错误的,当a扫描到第三个值(01)时,b在实际电路中应该是01而不是11,此时根据程序flag应置为1,当然此时flag本来就是1,不会发生错误。在实际中,时钟频率跳的如此之快,人按一下按键的持续时间还是有的,所以flag应在按键按完后再下降下来。不然多出很多无用的矩形波,这个装置就没用了。 3.2连接模块 连接模块接口图: keyvalue 送入连接模块进行运算,当连续四个0和1键按下时,中,如果按下的是set键,则set置1,如果按下的是0键,则a_led置1,若是1键,则b_led 置1。 这里有一个需要注意的点是,当第一次按了0键后马上按reset键,再按一下1键时,a的值是1,而不是0。每次按了reset或set,a,b,c,d都是要重新赋值的,这才符合实际情况。 3.3控制模块: 因为这个密码锁是循环使用的,就一定有不同的状态。这里采用有限状态机的方法进行设计。所以把开锁过程分为三个部分: 1.等待输入状态; 2.重设密码状态; 3.输出结果状态; 状态转换图如下所示: 控制模块接口图: flag2 ena

电子密码锁课程设计报告书

渝州科技职业学院 电子密码锁 院系:电子信息工程学院 专业班级: 11 电管2班 学生:任龙龙 学号: 1102120208 指导教师:何健 指导教师职称:讲师 二O一三年十一月

目录 1 绪论 (3) 1.1电子密码锁简介 (3) 1.2本设计所要实现的目标 (3) 1.3设计方案简介 (3) 2 系统总体方案设计 (4) 2.1设计框图 (4) 2.2设计原理 (4) 3 硬件系统构成 (4) 3.1主要源器件 (4) 3.2电路总体构成 (5) 3.3电源输入电路 (5) 3.4键盘输入电路 (5) 3.5密码存储电路 (6) 3.6复位电路 (6) 3.7晶振电路 (7) 3.8显示电路 (8) 3.9报警电路 (8) 3.10开锁电路 (9) 4 软件系统设计 (10) 4.1主程序流程图 (10) 4.2按键软件设计 (11) 4.3密码设置软件设计 (12) 4.4开锁软件设计 (13) 结论 (15) 参考文献 (15) 附录 (15) 附录1硬件原理图 (15) 附录2 C语言程序 (15)

1 绪论 1.1 电子密码锁简介 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。其性能和安全性已大大超过了机械锁。其特点如下: 1) 性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2) 密码可变,用户可以随时更改密码,防止密码被盗,同时也可以避免因 人员的更替而使锁的密级下降。 3) 误码输入保护,当输入密码多次错误时,报警系统自动启动。 4) 无活动零件,不会磨损,寿命长。 5) 使用灵活性好,不像机械锁必须佩带钥匙才能开锁。 1.2 本设计所要实现的目标 本设计采用单片机为主控芯片,结合外围电路,组成电子密码锁,用户想要打开锁,必先通过提供的键盘输入正确的密码才能将锁打开,密码输入错误有提示,为了提高安全性,当密码输入错误三次将报警。密码可以有用户自己修改设定,锁打开后才能修改密码。修改密码之前必须再次输入就的密码,在输入新密码的时候要二次确认,以防止误操作。 1.3 设计方案简介 采用以单片机为核心的控制方案 由于单片机种类繁多,各种型号都有其一定的应用环境,因此在选用时要多加比较,合理选择,以期获得最佳的性价比。一般来说在选取单片机时从下面几个方面考虑:性能、存储器、运行速度、I/O口、定时/计数器、串行接口、模拟电路功能、工作电压、功耗、封装形式、抗干扰性、性,除了以上的一些的还有一些最基本的比如:中断源的数量和优先级、工作温度围、有没有低电压检测功能、单片机有无时钟振荡器、有无上电复位功能等。在开发过程中单片机还受到:开发工具、编程器、开发成本、开发人员的适应性、技术支持和服务等等因素。基于以上因素本设计选用单片机80C51作为本设计的核心元件,利用单片机灵活的编程设计和丰富的I/O端口,及其控制的准确性,实现基本的密码锁功能。在单片机的外围电路外接输入键盘用于密码的输入和一些功能的控制,外接AT24C02芯片用于密码的存储,外接LCD1602显示器用于显示作用。当用户需要开锁时,先按键盘开锁键之后按键盘的数字键0-9输入密码。密码输完后按下确认键,如果密码输入正确

电子密码锁单片机课程设计

电子密码锁单片机课程设计

单片机原理与应用技术课程设计报告 电子密码锁设计 专业班级:电气 124 姓名: 时间:2015年1月2日 指导教师:秦国庆 2015年1月2日

电子密码锁课程设计任务书 1.设计目的与要求 设计出一个电子密码锁。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)状态显示功能:锁定状态时系统用3位数码管显示OFF, 用3位码管显示成功开锁次 数;成功开锁时用3位数码管 显示888,用3位数码管显示 成功开锁次数。 (2)密码设定功能:通过一个4×4的矩阵式键盘可以任意设 置用户密码(1-26位长度), 同时系统掉电后能自动记忆 和存储密码在系统中。(3)报警和加锁功能:密码的输入

时间超过12秒或者连续3次 输入失败,声音报警同时锁定 系统,不让再次输入密码。此 时只有使用管理员密码方能 对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 1

电子密码锁 电气124 赵政权 摘要:电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本设计利用51单片机编程控制原理,采用矩阵键盘、数码管显示、数据存储器和报警系统构成电子密码锁的设计成品。其中数码管使用两组三位一体共阳数码管,数据存储器使用AT24C04芯片通过IIC协议实现数据的传输,矩阵键盘用执行效率极高的代码扫描控制。使用Keil4.6编写程序代码,用Proteus进行仿真,无误后使用Altium制图,最终做成能使用的成品。 关键词: 51单片机 IIC协议矩阵键盘动态显示 Keil Proteus Altium 2

密码锁-单片机课程设计

1 绪论 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。电子锁可以在日常生活和现代办公中,住宅与办公室的安全防范、单位的文件档案、财务报表以及一些个人资料的保存等多种场合使用。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性和方便性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点随着人们对安全的重视和科技的发展,对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。具有报警功能的电子密码锁保密性高,使用灵活性好,安全系数高,密码锁设计方法合理,简单易行,成本低,符合住宅、办公室用锁要求,具有推广价值。电子密码锁采取电子电路控制,通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务。 本次设计使用AT89S52单片机构成最小系统,接以键盘,报警系统,液晶显示构成人性化的方便易用的电子密码锁。

2 设计任务、功能要求说明及总体方案介绍 2.1 设计目的与任务 本设计采用AT89S52单片机为主控芯片,结合外围电路矩阵键盘、液晶显示器LCD 1602A等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警,组成的电子密码锁系统。 2.2 设计内容及要求 (1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 (2)其密码为方8位十进制代码(代码自设定)。 (3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) (4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路,查阅至少5篇参考文献。按《湖南工学院课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 2.3 设计总体方案及工作原理说明 采用以单片机AT89S52为核心的控制方案,总体框图见图1。 单片机作为本装置的核心器件,在系统中起到控制声光报警、以及数码动态显示的作用。一般来说在选择单片机时下面几个方面考虑:性能、存储器、运行速度、I/O口、定时/计数器、串行接口、模拟电路功能、工作电压、功耗、封装形式、抗干扰性、保密性,除了以上的一些还有一些最基本的比如:中断源的数量和优先级、工作温度范围、有没有低电压检测功能、单片机内有无时钟振荡器、有无上电复位功能等。在开发过程中单片机还受到:开发工具、编程器、开发成本、开发人员的适应性、技术支持和服务等等因素。基于以上因素本设计选用单片机AT89S52作为本设计的核心元件,利用单片机灵活的编程设计和丰富的I/O 端口,以及控制的准确性,实现基本的密码锁功能。在单片机的外围电路外接加

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

密码锁verilog课程设计

课程设计报告课程设计题目:4位串行数字密码锁 学号:201420130326

学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁Verilog HDL

2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求 开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA 系统采用硬件描述语言Verilog 按模块化方式进行设计,并用modersim 软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: flag Set Reset key_value

设计原理: 本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag 产生一个矩形波,作为连接模块的触发信号。同时key_value 值为所按下键的编码值,与flag 一同传入连接模块。 实际设计接口图: flag key_value 键盘模块仿真图:

电子密码锁课程设计

目录 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以 启动执行机构动作,并且用红、绿LED指示关锁、开锁状态。 2)密码锁控制器中存储一个4位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4位密码可以修改。 3.方案选择 第一方案555集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

EDA电子密码锁设计报告

- -- 目录 摘要: .................................................................. 错误!未定义书签。第一章:系统设计总述 ................................................................ - 1 - 1.1设计要求....................................................................... - 1 - 1.2 设计方案...................................................................... - 1 - 第二章:总体程序设计 ................................................................ - 2 - 2.1整体组装设计原理图 ......................................................... - 2 - 2.2 顶层模块程序调用 ........................................................... - 3 - 2.2.1程序部分............................................................... - 3 - 2.2.2 整体原理文件......................................................... - 4 - 第三章:单元模块程序设计........................................................... - 5 - 3.1 输入模块...................................................................... - 5 - 3.1.1 输入模块程序 ....................................................... - 5 - 3.1.2输入模块元件 ......................................................... - 6 - 3.1.3输入模块仿真 ......................................................... - 7 - 3.2 电子密码锁系统控制模块.................................................. - 7 - 3.2.1控制模块程序 ......................................................... - 8 - 3.2.2控制模块元件 ........................................................ - 10 - 3.2.3控制模块仿真 ........................................................ - 11 - 3.3显示模块...................................................................... - 11 - 3.3.1显示模块元件 ........................................................ - 14 - 3.3.2显示模块仿真 ........................................................ - 15 - 第五章:收获与体验 .................................................. 错误!未定义书签。参考文献................................................................ 错误!未定义书签。- .

电子密码锁单片机课程设计

湖南学院 课程设计 课程名称 课题名称电子密码锁设计 专业测控技术与仪器 班级测控 学号 姓名 指导教师 年月日

湖南学院 课程设计任务书 课程名称 课题电子密码锁设计 专业班级测控 学生姓名 学号 指导老师 审批 任务书下达日期年月日 任务完成日期年月日

设计内容与设计要求 设计内容: 1、密码的设定,此密码是固定在程序存储器ROM中,假设预设的 密码为“12345”共5位密码。 2、密码的输入:采用两个按键来完成密码的输入,其中一个按 键为功能键,另一个按键为数字键。在密码都已经输入完毕并 且确认功能键之后,才能完成密码的输入过程。然后进入密码 的判断比较处理状态并给出相应的处理过程。 3、按键禁止功能:初始化时,允许按键输入密码,当有按键按下 并开始进入按键识别状态时,按键禁止功能被激活,但启动的 状态是在3次密码输入不正确的情况下发生的。 设计要求: 1、设计方案要合理、正确; 2、系统硬件设计及焊接制作; 3、系统软件设计及调试; 4、系统联调; 5、写出设计报告。

主要设计条件 1、MCS-51单片机实验操作台1台; 2、PC机及单片机调试软件; 3、单片机应用系统板1套; 4、制作工具1套; 5、系统设计所需的元器件。 说明书格式 1.封面 2.课程设计任务书 3.目录 4.系统总体方案设计 5.系统硬件设计 6.软件设计(包括流程图) 7.系统的安装调试说明 8、总结 9、参考文献 10、附录(源程序清单及硬件原理图等); 11、课程设计成绩评分表。

进度安排 设计时间为两周 第一周 星期一、上午:布置课题任务,讲课及课题介绍 下午:借阅有关资料,总体方案讨论 星期二、确定总体设计方案 星期三、硬件模块方案设计 星期四、软件模块方案设计 星期五、设计及调试 第二周 星期一、设计及调试 星期二、设计及调试 星期三、总调 星期四、写说明书 星期五、上午:写说明书,整理资料 下午:交设计资料,答辩 参考文献 [1]、《微型计算机原理及应用》许立梓编机械工业出版社 2002 [2]、《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000 [3]、《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000 [4]、《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版 社2000 [5]、《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001 [6]、《微型计算机接口技术》邓亚平编清华大学出版社 2001 [7]、《单片机原理及及应用》王迎旭编机械工业出版社 2001 [8]、《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002 [9]、《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

简易电子密码锁课程设计

江西理工大学应用科学学院 微机控制系统课程设计报告 题目:简易电子密码锁 姓名: 学号: 专业班级: 指导教师: 完成时间: 设计报告综合测试平时总评 格式(10分) 内容 (10分) 图表 (5分) 功能测试 (35分) 答辩 (20分) 考勤 (20分)指导教师签名:

目录 摘要.................................................... - 1 - 第一章系统概述.. (2) 第二章基本功能设计.................................... - 3 - 2.1 实验任务........................................ - 3 - 2.2 基本设计要求.................................... - 3 - 2.2.1 基本要求.................................. - 3 - 2.2.2发挥部分 .................................. - 3 - 2.3 主要元件介绍 (3) 2.3.1 P89C51芯片 (3) 2.4 系统框图 (5) 第三章硬件设计 (5) 3.1 硬件电路的设计 (5) 3.1.1 硬件工作接线口 (5) 3.1.2 LED显示器结构与原理 (5) 3.1.3 复位电路 (7) 3.1.4 振荡电路 (7) 3.1.5 按键设置 (8) 3.1.6 报警器和发光二极管 (9) 3.2 硬件电路图 (9) 第四章软件设计 (11) 4.1 系统软件设计 (11) 4.1.1密码开锁功能 (12) 第五章系统PROTUSE仿真图 (13) 第六章设计总结 (16) 参考文献 (17) 附录 (18)

电子密码锁设计报告概要

课程设计说明 书 课程名称:《单片机技术》设计 题目:基于51单片机的电子密码锁 院(部):学生姓名:电子信息与电气工程学院 马亚林 学号: 专业班级:指导教师:12 通信工程(专升本) 丁莹亮 2013 年05月17日

设计题目 课 程 设 计 任 务 书 基于 51 单片机的电子密码锁 学生姓名 设计要求: 12 通信工程(专 升本) 设计以单片机 AT89C51 为核心的电子密码锁,包括电子密码锁完整的设计过程以及外围 的开锁电路和报警电路的设计。 电子密码锁要完成以下部分的设计:按键接口电路、电子密码锁的控制电路、输出 八段显示电路。 电子密码锁控制电路能完成以下功能设计:数字按键的数字输入、存储和清除、功 能按键的功能设计、密码的清除和复位、报警信号产生电路密码核对、解除电锁电路、 输出八段显示电路完成以下电路设计:数据选择电路、八段显示器扫描电路。 学生应完成的工作: 1. 2. 3. 4. 5. 运用 Proteus 软件设计电路原理图; 用 Proteus 软件进行仿真; 焊接电路板并调试实现其功能; 完成实习报告; 我的任务是设计电路原理图并仿真。 参考文献阅读: [1] 杜尚丰. CAN 总线测控技术及其应用.北京:电子工业出版社,2007.1 [2] 杜树春.单片机 C 语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6 工作计划: 2013.5.6 熟悉课题并查阅相关资料,同时消化吸收资料内容; 2013.5.7——2013.5.8 2013.5.9——2013.5.10 根据设计题目确定硬件设计方案,并交与指导老师修改; 开始着手课题的软件设计,与指导老师进行沟通; 2013.5.13 申请领用元器件; 2013.5.14——2013.5.17 进行实物制作,并撰写课程设计报告。 任务下达日期:2013 年 5 月 6 日 任务完成日期:2013 年 5 月 17 日 指导教师(签名): 学生(签名):王立斌 王立斌 所在院部 基于 51 单片机 的电子密码锁 专业、年级、 班

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

课程设计-密码锁

课程设计课程名称: 设计题目: 专业: 姓名: 学号:

一、设计内容和要求 题目:密码锁 该锁有4个密码拨动开关(设定0和1)来设置密码,一个开箱钥匙孔(能接通电池)。当用钥匙开箱时,如果输入的4位密码正确,箱被打开;否则,电路将发出警报(发光二极管亮表示)。要求锁的密码在第一次使用时能由用户自行进行一次性设定(机械方式)。 具体要求: 1)写出该组合逻辑电路的分析和设计方法; 2)画出电路原理图,标明要使用的芯片。 二、电路设计 1.题目分析 从题目要求来看,该密码锁必须具备以下几个条件: (1).所设置的密码必须为4位,例如1000。 (2).密码错误时,必须发出警报,此时二极管亮。 (3).可以进行密码设定。 2.设计思路 因为每一位密码只有两个状态,即0和1,因此可以用单刀双掷开关结合高低电平来实现密码的设定以及输入,而设定密码与输入密码的比对可以通过异或门来实现。具体可以是4个异或门连接输入端,然后与反向器相连接,再连接4输入与非门,最后连接一个开关,一个500欧姆的限流电阻,一个发光二极管。 3.具体电路 总电路图如下图所示:

开始的8个单刀双掷开关和VCC以及GND部分是密码的设定以及输入部分,期中J2-J5是密码的设定,J6-J9是密码的输入。开关拨到上输入1,开关拨到下输入为0。上图的设定密码为1010,此时输入密码也为1010,密码正确,因此发光二极管不亮。如果输入密码为1011,此时与设定密码不相符,就会发出警报,表现为二极管发光,如下图: 从图可以看出,二极管发出绿光,说明密码错误,需要重新输入。 开关J1与开箱钥匙孔相连接。当用钥匙开箱时,开关J1闭合,电路接通。 如果密码错误二极管就会发光。 4.电路分析 异或门电路的性质:两个引脚输入相同时输出为0,输入相异时输出为1。由此可知,如果设置密码与输入密码相同时,U9A的输出电平为低电平,因此发光二极管不发光。如果设置密码与输入密码不同,则U9A的输出电平为高电平,此时二极管发光。如果J1断开,即不用钥匙开箱,则无论输入是什么,都不可能发光。如果J1接通,即用钥匙开箱,则需要满足输入与设定不同是才会发光。 5.芯片需求 54LS86是一个有4二输入异或门的芯片,引脚图如下:

数字密码锁设计报告

1 设计任务描述 1.1设计题目:数字密码锁 1.2 设计要求 1.2.1 设计目的 (1)掌握数字密码锁的构成、原理与设计方法; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1)设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K0~K9分别代表数字0、1、2、3、4、5、6、7、8、9。 (2)用一位输出电平的状态代表锁的开闭状态(用灯光显示或报警表示)。 1.2.3 发挥部分 (1)可删除输入的数字; (2)三次错误输入锁定键盘,并发出提示或报警;其它。

2 设计思路 用密码去控制各个D触发器的翻转,达到密码开锁的目的,用按钮开关去控制电子门铃的触发信号,达到按响门铃的目的。四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁,用二极管发光来显示开锁成功。 因电容C2电压不能突变,在接通电源瞬间C2的电压为零,使得N1-N4各位皆为零。 输入三次错误密码时,会由计数器74193来检测,并发出报警信号和锁定键盘的信号。

3 设计方框图数字密码锁设计方框如图3.1所示。 图3.1设计方框图

4各部分电路设计及参数计算 4.1密码的设置电路设计 密码设置和输入密码的电路如图4.1所示。 图4.1密码设置 图中默认的密码为0953,用户可以自行设置密码。共有10个开关可设置0-9个数字的密码,第11个开关为复位开关,当输入错误时可以选择复位,重新输入。其他的开关为干扰密码。 4.2判断密码是否正确的电路的设计 判断密码正误的电路图如图4.2所示。 图4.2判断密码正误 四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁。

相关文档
最新文档