数字电子技术基础试题.docx

数字电子技术基础试题.docx
数字电子技术基础试题.docx

一、填空题:(每空 3 分,共 15 分)

1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。2.将 2004 个“ 1”异或起来得到的结果是(0)。

3.由 555 定时器构成的三种电路中,(施密特触发器)和(单稳态触发器)是脉冲的整形电路。4.TTL 器件输入脚悬空相当于输入(高)电平。

5.基本逻辑运算有 : (与)、(或)和(非)运算。

6.采用四位比较器对两个四位数比较时,先比较(最高)位。

7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;

8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器

9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是( TTL )电路和(CMOS)电路。

10.施密特触发器有(两个)个稳定状态 . ,多谐振荡器有(0 )个稳定状态。

11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;

12.两二进制数相加时,不考虑低位的进位信号是(半)加器。

13.不仅考虑两个 _本位 ___相加,而且还考虑来自__低位进位 __相加的运算电路,称为全加器。14.时序逻辑电路的输出不仅和__该时刻输入变量的取值_有关,而且还与 __该时刻电路所处的状

态___有关。

15.计数器按CP脉冲的输入方式可分为_同步计数器 ___和 _异步计数器 __。

16.触发器根据逻辑功能的不同,可分为 __RS触发器 ___ 、__T 触发器 ___ 、_JK 触发器 __、_T’触

发器 _、_D 触发器 _等。

17.根据不同需要,在集成计数器芯片的基础上,通过采用_反馈归零 _、 __预置数法 __、_进位输出置最小数法 _等方法可以实现任意进制的技术器。

18.4. 一个 JK 触发器有 2 个稳态,它可存储1位二进制数。

19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。

20.把 JK 触发器改成 T 触发器的方法是 J=K=T。

21.N 个触发器组成的计数器最多可以组成 2 的 n 次方进制的计数器。

22.基本 RS 触发器的约束条件是RS=0。

J K ,则可完23.对于 JK 触发器,若J K ,则可完成T触发器的逻辑功能;若

成D触发器的逻辑功能。

四.画图题:(5 分) 1.试画出下列触发器的输出波形(设触发器的初态为 0)。(12 分) 1.

2.

3.

2.已知输入信号 X ,Y,Z 的波形如图3所示,试画出 F XYZ X YZ XYZ XY Z

的波形。

图3 波形图

五.分析题( 30 分) 1、分析如图所示组合逻辑电路的功能。

2.试分析如图 3 所示的组合逻辑电路。(15 分)

1). 写出输出逻辑表达式;2) . 化为最简与或式;

3). 列出真值表; 4). 说明逻辑功能。

3.七、分析如下时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的

状态转换图。(20)

图 4 4.74161组成的电路如题37图所示,分析电路,并回答以下问题

( 1)画出电路的状态转换图(Q3 Q2Q1Q0);

(2)说出电路的功能。(74161的功能见表)

六.设计题:( 30分) 1.要求用与非门设计一个三人表决用的组合逻辑电路图,只要有2票或 3票同意,表决就通过(要求有真值表等)。?

2. 试用 JK 触发器和门电路设计一个十三进制的计数器, 并检查设计的电路能否自启动。(14 分)

七.( 10 分)试说明如图 5 所示的用 555定时器构成的电路功能,求出UT+ 、UT-和U T ,并画出其输出波形。(10 分)

图 5

三.化简题:1、利用摩根定律证明公式

反演律(摩根定律):

ABAB

ABAB

2、画出卡诺图

化得 Y AC AD 四.画:2

五.分析 20 分)

1.1、写出表达式Y

1AB Y2 BC Y3CA YABBCCA

2、画出真表

3、当入 A、B、 C 中有 2 个或 3 个 1 ,出 Y 1,否出 Y 0。所以个路上

是一种 3 人表决用的合路:只要有 2 票或 3 票同意,表决就通。

2.( 1)表达式

(2)最与或式:

(3)真表

A B C Y 1Y 2

0 0 000

0 0 110

0 1 010

0 1 101

1 0 010

1 0 101

1 1 001

1 1 111

(4)功能:全加器。

3. 1)据写出路的方程:T0 1T1 Q0T2 Q0 Q1 T3 Q0 Q1 Q2

2)求出状方程:

3)写出出方程:C= Q

0Q1Q2 Q3

4)列出状表或状或序:

5)从以上看出,每16 个信号以后路的状循化一次;同,每16 个脉冲作用后出端 C 出一个脉冲,所以,是一个十六制数器, C 端的出就是位。

CP Q3Q2Q1Q0等效十制数C

0000000

1000110

2001020

??

151111150

16000000解:( 1)状表:

Q n3Q n2Q n1Q n0Q n+1 3Q n+1 2Q n+1 1Q n+1 0

00000001

00010010

00100011

00110100

01000101

01010110

01100111

01111000

10001001

10011010

10101011

10110000

状:

QQQQ3210

00000001001000110100 1011

101010011000011101100101

A&

(2)功能: 11 制数器。从0000 开始数,当 Q3 Q2 Q1 Q0

B&

Y 1011,

&通与非异步清零,完成一个数周期。

六.:C&

1、画出真表

2 写出表达式3画出

2.解:根据意,得状如下:

所以:

能自启动。因为:

七.,,,波形如图

所示

复习题填空题

4.已知 Intel2114是1K* 4位的 RAM集成电路芯片,它有地址线条,数据线条。

5.逻辑函数Y AB C 的两种标准形式分别为、。

6.由 555 定时器构成的三种电路中,和是脉冲的整形电路。

7. RAM 的扩展可分为、扩展两种;

9.有一数码 10010011,作为自然二进制数时,它相当于十进制数,作为 8421BCD码时,它相

当于十进制数。

,该函数的反函数 F =

10.已知某函数F B A C D AB CD

11.一个 10 位地址码、 8 位输出的 ROM,其存储容量为。

12 . 能够实现“线与”的TTL 门电路叫,能够实现“线与”的CMOS门电路

叫。

14、半导体存储器的结构主要包含三个部分,分别

是、、。

15、组合逻辑电路产生竞争冒险的内因是逻辑器件的传输延时。

16、n 个变量的逻辑函数其全体最小项的个数为。最小项的性质有三条,其中任意

两个最小项之积为,全体最小项之和。

17.维持阻塞 D 触发器在CP 脉冲的输入有效;同步RS 触发器在CP 脉冲的输入有效;主从JK 触发器如果在CP 为高电平期间J、 K 不变,那么这种触发器在CP 脉冲的输入有效;主从JK 触发器如果在CP 为高电平期间J、 K 变化,这种触发器存在问题。

18.计数器按电路中各触发器翻转的次序分为和计数器;按计数过程中计数器数字的增减分为和计数器。

20、三位二进制减法计数器的初始状态为101,四个 CP脉冲后它的状态为

二、选择题 1.电路如图所示,其中 74LS161 为异步清零同步预置四位二进制加法计数器,则电路实现的是:()

(1)十一进制加法计数器。

(2)十进制加法计数器。

(3)十六进制加法计数器。

(4)十二进制加法计数器。

2、逻辑函数为Y(A,B,C) = AB +A C。使 Y 为 1 的变量取值组合ABC 为()

(1)ABC =111 , ABC =100 ,ABC =110 ,ABC =011

(2)ABC =111 , ABC =110 , ABC =001 , ABC =101

(3)ABC =000 , ABC =010 ,ABC =100 , ABC =101

(4)ABC =111 , ABC =110 , ABC =011 , ABC =001

3.2—4 译码器电路如下图,则输出表达式为:()

(1)Y

3= B A,Y2=B A,Y1=B A,Y0=BA

(2)Y

3=BA ,Y 2= B A, Y1=B A

,Y

0= B A

(3)Y

3=BA ,Y 2=B A

,Y

1= B A, Y0= B A

(4)Y

3=B A

,Y

2= B A ,Y1= B A

,Y

0=BA

4.数据选择器的逻辑电路如图,在选通端S为高电平的情况下,当选择A1A0=10时,输出端Z 为:()

( 1) Z=D0 ,( 2) Z=D1(3)Z=D2,(4)Z=D3

5.施密特触发器的符号如下图(a)所示,它的电压传输特性为:()

6.已知 TTL 与非门的参数如下:

V cc5V ,V T 1.4V ,V IL max0.3V , V IH min 2.0V , V OL max0.4V ,

V OH min 2.4V ,求其高电平噪声容限()

(A )0.5V ,(B)0.4V,(C)1.2V ,(D)2.0V

三、按要求做题

2、试画出图 3 在 CP 脉冲作用下Q1,Q2,Y 对应的电压波形。

(设触发器的初态为0,画 6 个完整的 CP 脉冲的波形 )

3、图 1、2 中电路由TTL 门电路构成,图 3 由 CMOS门电路构成,试分别写出F1、F2、 F3

的表达式。

5、分析所示电路,写出Z1、 Z2 的逻辑表达式,列出真值表,说明电路的逻辑功能。

7、指出图中各TTL 门电路的输出是什么状态(高电平、低电平、高阻)

9、用公式法将下列函数化为最简与或表达式。①Y=AC+ABC+ACD+CD⑵ Y=A(C⊕

D)+BCD+ACD+ABCD

10、用卡诺图化简法将函数化为最简与或表达式。(1)Y=BC D+AB+AC D+ABC

( 2)Y ( A , B,C, D) =∑ (m3,m5,m6,m7 ,m10)给定约束条件为m0+m 1+m2+m4+m 8=0

11、分析所示组合逻辑电路的功能(表达式、真值表及功能说明)

13 由同步十进制加法计数器74LS160 构成一数字系统如图所示,假设计数器的初态为0,测得组合

逻辑电路的真值表如下所示:

1).画出 74LS160 的状态转换图;2).画出整个数字系统的时序图;

3).如果用同步四位二进制加法计数器74LS161 代替74LS160, 试画出其电路图(要求采用置数

法);

4).试用一片译码器74LS138 辅助与非门实现该组合逻辑电路功能。

14、电路如图所示,其中RA=RB=10k Ω ,C=0.1 μf ,试问:

1).在 Uk 为高电平期间,由555 定时器构成的是什么电路,其输出U0 的频率 f0=?

2).分析由 JK 触发器 FF1、FF2、 FF3 构成的计数器电路,要求:写出驱动方程和状态方程,画

出完整的状态转换图;

3). ? 设 Q3、 Q2、 Q1的初态为000,Uk所加正脉冲的宽度为Tw=5/f0 ,脉冲过后Q3、Q2、Q1将保持在哪个状态

15、集成 4 位二进制加法计数器74161 的连接图如图所示,LD 是预置控制端;D0、 D1、 D2、 D3

是预置数据输入端;Q3 、Q2、Q1、Q0 是触发器的输出端,Q0 是最低位, Q3 是最高位; LD 为低

电平时电路开始置数,LD 为高电平时电路计数。试分析电路的功能。要求:

( 1)列出状态转换表;(2)检验自启动能力;(3)说明计数模值。

16、画出用两片同步十进制计数器74LS160 接成 59 进制计数器的接线图,可以附加必要的门电路。

74LS160 的功能表如图所示。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)2018年教师资格考试高中生物学科专业知识模拟试题(二)及答案

2018年教师资格考试初中生物学科专业知识模拟试题(二)及答案解析 1.某市园林工人给移栽后的绿化树“挂吊瓶”,以提高成活率。“挂吊瓶”的针头应插入到茎的( )。 A. 输导组织 B. 机械组织 C. 保护组织 D. 分生组织 2.有时我们会看到这样的现象:路旁杨树茎的某一部分受到创伤后不能增粗,这是因为破坏了( )。 A. 韧皮部 B. 形成层 C. 木质部 D. 髓 3.在果树的“坐果”时期,果农常常给果树的茎做环状剥皮(即“环剥”),这样可以增加果实产量,其原理是( )。 A. 促进果树生根 B. 防止了害虫的侵害 C. 限制了有机物向根部运输 D. 限制了水和无机盐向茎叶运输 4.移栽植物时,人们常常去掉几片叶子,这样做是为了( )。 A. 方便操作 B. 降低呼吸作用 C. 降低光合作用 D. 降低蒸腾作用 5.人患急性炎症时,数量会急剧增加的是( )。

A. 红细胞 B. 白细胞 C. 血小板 D. 血红蛋白 6.如图所示的血管,只能表示静脉的是( )。 7.健康的人血液流经肾脏时不会发生的显著变化是( )。 A. 废物由多变少 B. 氧气由多变少 C. 蛋白质由多变少 D. 营养物质由多变少 答案及解析 1.【答案】A 【解析】考点:植物的几种主要组织及功能。 分析: 植物的组织是按功能来划分的有保护作用的保护组织、有分裂作用的分生组织、有营养作用的营养组织、有输导作用的输导组织,有支撑、保护功能,分布在茎等处是机械组织。 解答: 植物体内的导管能运送水和无机盐,筛管能运送有机物,属于输导组织。所以,绿化树“挂吊瓶”,补充水和无机盐,“挂吊瓶”的针头应插入到较大绿化树茎(树干)的输导组织。 故选:A 2.【答案】B 【解析】考点:木质茎的生长和年轮的形成。 分析:

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

2015年天津市津南区教师招聘考试真题:学科专业知识《小学语文》考题及解析

2015年天津市津南区教师招聘考试真题:学科专业知识《小学语文》考题及解析 2015年天津市津南区教师招聘考试学科专业知识《小学语文》考题及解析 一、选择题 1.下列词语中加点的字,读音全都正确的一组是( )。 A.女工(gōng) 安土重(zh?ng)迁商埠(fù) 花团锦簇(cù) B.莅(lì)临大放厥(juè)词挟(xié)制焉(yān)头耸脑 C.懦(nu?)弱年高德劭(shào) 两栖(qī) 沁(qìn)人心脾 D.遽(jù)然精神抖擞(sǒu) 坍(tā)陷一柱擎(qíng)天 1.【答案】C。解析:A.商埠(bù),B.大放厥(jué)词,D.坍(tān)陷。 【考点】本题考查的是字音。 【难度】本题考查难度为简单。 2.下列各句中,没有错别字的一项是( )。 A.辩论双方唇枪舌箭,针锋相对,相持不下,后来正方二辩出其不意地抛出三个有力论据,令反方措手不及,只好甘拜下风。 B.这位专家关于城镇化建设要防止落入“五大陷井”的说法得到了与会人员的认同,不少人对他的真知灼见竖起了大拇指。 C.在“中国情结”绘画大奖赛中,作品《瑞雪兆丰年》创造性地融入了民族文化元素,让人产生强烈的共鸣,最终拔得头筹。 D.每次登陆电子邮箱、微博或使用银行卡、会员卡时都须输入密码,而不同的密码容易混淆,这给人们平添了许多烦恼。 2.【答案】C。解析:A.唇枪舌箭(剑),B.陷井(阱),D.登陆(录)。 【考点】本题考查“识记现代汉字的字形”的能力,主要考查音同形异字。 【难度】本题考查的难度为简单。 3.下文是一份请柬中的四句话,其中表述不得体的一句是( )。 (甲)我校文学社定于本月18日晚7点在学校礼堂举行“民俗文化报告会”。(乙)您是著名民俗专家,对民俗文化的研究造诣颇深。(丙)今诚挚邀请您莅临会议,为我社名俗文化的开展做出认真地指导。(丁)敬请届时光临。 A.(甲) B.(乙) C.(丙) D.(丁) 3.【答案】C。解析:“作出认真地指导”不得体,不宜对邀请的对象提这样的要求。 【考点】本题考查言语表达技巧。 【难度】本题考查的难度为简单。 4.午宴上,某中学生遇到父母的朋友劝酒,下列回应得体的一项是( )。 A.下午还有两门考试呢,别劝了,行不? B.谢谢足下,家父从来不准许我喝酒。 C.不喝,不喝。中学生不是不能喝酒吗? D.谢谢,谢谢!我年龄还小,不能喝酒。 4.【答案】D。解析:A.言外之意是中学生可以喝酒,只是今天情况特殊,不符合中学生身份,且语言不礼貌;B.“足下”“家父”过于书面,比较文雅,不合适使用有父母的朋友参与的饭桌上;C.语言生硬,没有礼貌。 【考点】本题考查语言运用是否得体。注意对象、场合;注意谦敬词的使用,注意“足下”等蕴含古文化意义的词语的运用。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

药品生产监督管理办法培训试题与答案.docx

药品生产监督管理办法(2020年修订)培训试题 姓名:___________________ 部门:_______________________ 成绩: ___________ - 一、填空题(每空2分,共70分) 1. ___________________________________ 《药品生产监督管理办法》于2020年1月15日经国家市场监督管理总局2020年第1 次局务会议审议通过,自起施行。 2在中华人民共和国境内上市药品的_________________________________ ,应当遵守本办法。 3.从事药品生产活动,应当遵守法律、法规、规章、标准和规范,保证全过程信息真 实、__________________________________ 。 4.从事药品生产活动,应当经_____________________________________________________ 批准,依法取得________________________________ ,严格遵守药品生产质量管理规范,确保 生产过程持续符合法定要求。 5.药品上市许可持有人应当建立_________________________ ,履行 ___________________ 责任,对其取得药品注册证书的药品质量负责。 6.药品上市许可持有人、药品生产企业应当建立并实施________________________ ,按照规定 赋予药品各级销售包装单元___________________ ,通过信息化手段实施药品追溯,及时准 确记录、保存药品追溯数据,并向______________________________________ 提供追溯信息。7.省、自治区、直辖市药品监督管理部门负责本行政区域内的药品生产监督管理,承担 药品生产环节的_________________________________ 等工作。 8.____________________________________ 负责药品追溯协同服务平台、药品安全信用档 案建设和管理,对药品生产场地进行统一编码。 9.自治区、直辖市药品监督管理部门应当在行政机关的网站和办公场所公示申请药品生 产许可证所需要的条件、程序、期限、_____________________________________________ 和申请书示范文本等。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

2020年小学语文教师学科专业知识考试试题(多套)

2020年小学语文教师学科专业知识考试试题 语文试卷一(小学) 第一部分课标与语文素养(26分) 1、根据《全日制义务教育语文课程标准()》填空。(每空1分,共4分) ①语文课程的基本特点是()。 ②课程目标根据()、( ) 、()三个维度设计。 2、根据诗文原句填空。(每空1分,共5分) ①横眉冷对千夫指,()。 ②子在川上曰:“逝者如斯夫,()。” ③问渠哪得清如许,为有源头活水来。 ④业精于勤荒于嬉,行成于思毁于随。 ⑤出淤泥而不染,濯清涟而不妖。 3、根据要求写出诗文原句。(每小题2分,共8分) ①由“四面湖山归眼底,万家忧乐到心头。”可以联想到范仲淹《》中的两句话是: 先天下之忧而忧,后天下之乐而乐 ②古往今来,成就大事业的仁人志士往往受过艰难困苦的磨练,当你在学习、生活中遇到挫折,感到疲惫时,不妨用孟子《》中的名句 天将降大任于是人也,必先苦其心志,劳其筋骨……来激励自己。 ③请你从积累的诗文中,写出一句与“生死”有关的完整语句: 人生自古谁无死,留取丹心照汗青. 死去原知万事空,但悲不见九州同.

生当做人杰,死亦为鬼雄.④请你从《论语》或《学记》中写出一句有关教学的名言: 三人行,必有我师焉!玉不琢,不成器;人不学,不知义。 4、常识填空。(每空1分,共4分) ①请把十二地支补充完整:子丑寅卯辰巳午未申酉(、)。 ②中国书法所谓的“颜筋柳骨”是指(颜真卿、柳公权)的书法特色。 ③英国女作家J.K.罗琳所著系列魔幻小说《》近年风靡全球。 5、成语填空。(每空1分,共5分) ()马行空()猿意马()马齐暗()马厉兵()崖勒马 第二部分阅读理解 阅读下面文字,回答问题。(15分) 鱼,我所欲也;熊掌,亦我所欲也;二者不可得兼,舍鱼而取熊掌者也。生,亦我所欲也;义, 亦我所欲也;二者不可得兼,舍生而取义者也。生亦我所欲,所也有甚于生者,故不为苟得也;死亦我所恶,所恶有甚于死者,故患有所不辟也。如使人之所欲莫甚于生,则凡可以得生者何不用也?使人之所恶莫甚于死者,则凡可以辟患者何不用也?由是则生而又不用也,由是则可以辟患而又不为也。是故所欲有甚于生者,所恶有甚于死者。(非独贤者有是心也,人皆有之,贤者能勿丧耳)。 6、本文的作者是(孟子)。(1分) 7、将此段中空缺的语句填写在横线上。(2分)

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

小学语文教师学科专业知识考试模拟试题

小学语文教师学科专业知识考试模拟试题 《语文课程标准部分》20分 一、填空:(10分) 1、语文是最重要的(交际工具),是人类文化的重要组成部分。(工具性和人文性的统一),是语文课程的基本特点。 2、阅读教学是(教师、学生、文本)之间对话的过程。其中(学生)应当是对话的中心。 3、在写作教学中,应注重培养学生(观察)、思考、(表现)、评价的能力。 4、口语交际教学活动主要应在具体的(交际情景)中进行。 5、综合性学习应强调合作精神,注意培养学生策划、组织、(协调)和实施的能力。 5、实施评价,应注意教师的评价、(学生的自我评价)与(学生间相互评价)相结合。 二、简答题(4分) 简要说说语文课程的几个基本理念。 1全面提高学生的语文素养2正确把握语文教育的特点3积极倡导自主、合作、探究的学习方式4努力建设开放而有活力的课程。 三、简述题(6分)看下面这段实录并回答问题。 四、(一位老师在执教《春的颂歌》时,请一位学生评价另一位学生的读书情况。)师:你说说他刚才读得怎样?生:读得不错,声音洪亮,字音都读得很准,但是,没有读出对春天的赞美之情。师:你的评价很到位,那你能不能读一读呢?生(很肯定地说)我不行!师(略迟疑)那好,你推荐一位同学读读。请结合新课程理念,评析这位教师的做法。你遇到这种情况,将如何处理?答:位教师和学生间的互动稍显呆板缺少感情,学生的第一次回答是十分精彩的,作为教师应给以高度一点的表扬,这样可能会增强学生对于回答好下一问题的自信心;对学生很肯定自己不行的回答,老师没有及时鼓励他试一试,而是略迟疑后直接采取了下下策——让他推荐一位同学读读新课程理念第一点内容就是全面提高学生的语文素养,而这为位学生在之前展示了自己具有一定的理解、评析能力,老师更应鼓励他培养朗读、表现自我的能力。 五、教材教法部分(20分) 从下面提供的两个文章片断中任选一段,设计一份教学方案,并简要阐述设计理念。这么大,这么美的草塘,我还是第一次看到,走了进去就像置身于大海中一样。浪花翠绿翠绿的,绿的发光,绿得鲜亮,欢笑着,翻滚着,一层赶着一层涌向远方。仔细看那浪花,近处的呈鲜绿色,远一点儿的呈翠绿色,再远的的呈墨绿色,一层又一层,最后连成一片,茫茫的跟蓝天相接。节选自人教大纲版第九册教材《可爱的草塘》,海底是否没有一点儿声音呢?不是的。海底的动物常常在窃窃私语。你用水中听音器一听,就能听到各种声音:有的像蜜蜂一样嗡嗡,有的像小鸟一样啾啾,有的像小狗一样汪汪,有的好像在打鼾……它们吃东西的时候发出一种声音,行进的时候发出另一种声音,遇到危险还会发出警报。 专业知识与能力部分(50分) ㈠基础积累。14分 1、给带点的字选择正确的读音,用“√”表示。(2分) 2、论(lún lùn)语呱呱(guā gū)坠地大模(múmó)大样句读(dúdòu) 2、下面的词语中如有错别字,请做上记号并改正在“()”里。(2分)耄耋之年世外桃园林荫道永保青春(源、葆) 3、照样子写词语。2分“花潮”曾是一篇课文的题目,这个词很美,花像层层浪潮的意思。这样在名词之后缀一个它的喻体而构成的词在汉语中还有不少,请你写两个。花潮:人潮心潮 4、把下面的诗句填充完整。(5分) ⑴、烽火连三月,家书抵万金。⑵、随风潜入夜,润物细无声。⑶、谁言寸草心,报得三春晖。⑷、天苍苍,野茫茫,风吹草低见牛羊。⑸、人生自古谁无死,留取丹心照汗青。 5、向孩子推荐儿童文学作品是语文教师的一项重要工作。在作家与相应作品之间连线。(3分)《草房子》曹文轩皮皮鲁系列郑渊洁马小跳系列杨红缨《明天要秋游》方素珍㈡古诗词阅读。5分 阅读下面的曲,并回答后面的问题。天净沙·秋思马致远枯藤老树昏鸦,小桥流水人家,古道西风瘦马。夕阳西下,断肠人在天涯。1、诗歌中直接抒情的是哪一句?抒发了怎样的感情?(3分)答:"断肠人在天涯"这句是直接抒情,抒发了在特定的时间环境之下的思乡之情。 2、“小桥流水人家”一句描写了温馨恬静的景象,联系全诗,谈谈作者为什么这样写?(2分) 答:小桥流水——一种温馨的感觉,这是一幅非常温馨非常美丽的一幅画面,这个画面是不是属于我的?不是!这是眼见之景,眼见别人家的美景,眼见别人家的温馨。你看,人家屋顶炊烟袅袅,在做饭,在团圆,而我呢,

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

养护员GSP试题及答案.docx

精品文档 养护员考试试题 姓名分数 一、填空题(每空 1分,共 50 分) 1、药品储存对温度有很高的要求:常温库的温度为(),相应湿度为();阴凉库的温度为();冷库的温度为(),阴暗处是指温度为(),并且()。 2、养护员对库存药品定期进行()。一般品种,每季度检查(),效期药品和()酌情增加检查次数,并认真填写()。 3、企业应当采用()系统对库存药品的有效期进行()和控制,采取及超过有效期自动锁定等措施,防止过期药品销售。 4、储存药品应当按照要求采取()、遮光、 ()、防潮、 ()、防鼠等措施 . 5、药品与 ()、外用药与其他药品分开存放,中药材和()分库存放 . 6、物料发放应严格遵守()的原则。 7、仓库的货物应码放整齐,在每一批号的货位前悬挂()。 8、仓库“五防”的内容:()、()、()、()、()。 9、仓库必须安装有适量的()和(),诱杀蚊蝇、飞虫及老鼠。 10、有一批物料,编码为“Y005160204”,系指()药材在()年()月第()次进库。 11、从事中药材、中药饮片验收工作的,应当具有()或者具有();从事中药材、中药饮片养护工作的,应当具有()或者具有()。 12、养护周期:每年()月份,应将中药材、中药饮片列为重点养护,每月检查,其它 时间按 ()循环检查。 13、在人工作业的库房储存药品,按质量状态实行()管理:合格药品为()色,不合格药品为()色,待确定药品为()色。 14、药品按批号堆码,不同批号的药品不得(),垛间距不小于()厘米,与库房内墙、顶、温度调控设备及管道等设施间距不小于()厘米,与地面间距不小于()厘米; 15、对中药饮片按其特性,采取()、()、()等方法进行养护。 16、中药材、中药饮片在养护过程中发现()、()、()等质量问题应立即在计 算机系统内锁定,停止销售。 二、选择题(每题 2分,共 10 分) 1.药品在库养护的原则为() A、以养为主 B、以防为主 C、以检查为主 D、以保管为主 2.药品库区色标管理中标识为绿色的是() A、不合格区 B、合格区 C、待验区 D、退货区 3.在汛期、霉季、雨季或发现质量变化苗头时,临时组织力量进行全面或局部的检查为() A、三三四检查 B、定期检查 C、突击检查 D、上级检查 4.应严格实行专库(柜),双人双锁保管,专账记录的药品() A、针剂 B、处方药 C、麻醉品和放射性药品 D、非处方药 5.堆码时垛与墙的间距为() A、不小于 100cm B、不小于50cm C、不小于 30cm D、不小于 200cm

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

小学语文教师学科专业知识考试试题(20201101142948)

小学语文教师专业基础知识复习题(一) 、古诗名句积累 9、海纳百川,有容乃大。 壁立千仞,无欲则刚。 10、博学之,审问之,慎思之,明辨之,笃行之。 11、骐骥一跃,不能十步;驽马十驾,功在不舍。锲而舍之,朽木不折;锲而不舍,金石可 镂。 、文学名著积累 1、《皇帝的新装》 、《卖火柴的小女孩》 、《丑小鸭》都是丹麦童话作家安徒生的作 品。 2、《林海》、《草原》都是中国著名作家老舍的作品。 3、《海上日出》 、《鸟的天堂》都是中国著名作家巴金的作品。 4、《凡卡》的作者是俄国作家契诃夫。 《穷人》的作者是俄国作家列夫?托尔斯泰。 5、向命运挑战的伟大科学家是霍金。 6、 《长征》、《沁园春?雪》、《卜算子?咏梅》的作者是毛泽东。 1、随风潜入夜,润物细无声。 3、海内存知己,天涯若比邻。 5、野火烧不尽,春风吹又生。 7、夕阳无限好,只是近黄昏。 9、蒌蒿满地芦芽短,正是河豚欲上时, 11、劝君更尽一杯酒,西出阳关无故人。 13、南朝四百八十寺,多少楼台烟雨中。 15、桃花潭水深千尺,不及汪伦送我情。 17、莫愁前路无知己,天下谁人不识君。 19、路人借问遥招手,怕得鱼惊不应人。 21、毕竟西湖六月中,风光不与四时同。 23、遗民泪尽胡尘里,南望王师又一年。 25、爆竹声中一岁除,春风送暖入屠苏。 27、儿童急走追黄蝶,飞入菜花无处寻。 29、春色满园关不住,一枝红杏出墙来。 31、清明时节雨纷纷,路上行人欲断魂。 33、不识庐山真面目,只缘身在此山中。 35、葡萄美酒夜光杯,欲饮琵琶马上催。 37、小荷才露尖尖角,早有蜻蜓立上头。 39、飞流直下三千尺,疑是银河落九天。 41、春风又绿江南岸,明月何时照我还。 43、碧玉妆成一树高,万条垂下绿丝绦。 45、两岸青山相对出,孤帆一片日边来。 47、一道残阳铺水中,半江瑟瑟半江红。 49、留连戏蝶时时舞,自在娇莺恰恰啼。 51、姑苏尘外寒山寺,夜半钟声到客船。 53、欲把西湖比西子,淡妆浓抹总相宜。 二、名言名句积累 1、愿乘风破万里浪,甘面壁读十年书。 3、与有胆识人共事,从无字句处读书。 5、纸上得来终觉浅,绝知此事要躬行。 7、世事洞明皆学问,人情练达即文章。 2、生当作人杰,死亦为鬼雄。 4、少壮不努力,老大徒伤悲。 6、谁言寸草心,报得三春晖。 8、洛阳亲友如相问,一片冰心在玉壶。 10、山重水复疑无路, 12、粉身碎骨浑不怕、 14、独在异乡为异客, 16、不知细叶谁裁出, 18、天苍苍,野茫茫, 20、横看成岭侧成峰, 22、等闲识得东风面, 24、竹外桃花三两枝, 26、三万里河东入海, 28、山外青山楼外楼, 30、咬定青山不放松, 32、两个黄鹂鸣翠柳, 34、故人西辞黄鹤楼, 36、但使龙城飞将在, 38、劝君更尽一杯酒, 40、春潮带雨晚来急, 42、日照香炉生紫烟, 44、天门中断楚江开, 46、死去元知万事空, 48、朝辞白帝彩云间, 50、不要人夸好颜色, 52、羌笛何须怨杨柳, 54、落红不是无情物, 2、虚心竹有低头叶, 4、删繁就简三秋树, 6、 问渠那得清如许, 8、千淘万漉虽辛苦, 柳暗花明又一村。 要留清白在人间。 每逢佳节倍思亲。 二月春风似剪刀。 风吹草低见牛羊。 远近高低各不同。 万紫千红总是春。 春江水暖鸭先知。 五千仞岳上摩天。 西湖歌舞几时休。 立根原在破岩中。 一行白鹭上青天。 烟花三月下扬州。 不教胡马度阴山。 西出阳关无故人。 野渡无人舟自横。 遥看瀑布挂前川。 碧水东流至此回。 但悲不见九州同。 千里江陵一日还。 只留清气满乾坤。 春风不度玉门关。 化作春泥更护 花。 傲骨梅无仰面花。 领异标新二月花。 为有源头活水来。 吹尽狂沙始到金。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

教师资格证结构化面试“各学科专业知识”试题及解析(精编)

结构化面试“各学科专业知识”试题及解析 (一)语文学科 你认为该如何指导学生进行朗读? 【参考答案】朗读能力的培养是循序渐进的,不可能一步到位。目前中学语文新课程标准对朗读教学总的要求是能用普通话正确、流利、有感情地朗读课文。所以指导学生进行朗读主要分为以下几个步骤:第一步就是扫清生字障碍,熟悉课文,这是正确朗读课文的前提。 第二步教师范读,提出要求。新课标要求教师经常组织学生听朗读,包括教师的范读和录音范读。范读有助于培养学生对朗读的兴趣,唤起学生的感情,丰富学生的词汇,这是提高学生朗读水平的重要方面。 第三步创设情境,渲染氛围。朗读训练,要想方设法调动学生,让其全身心地投入,尤其是低年级的学生,单调的阅读会使学生读得口干舌燥,昏昏欲睡,所以营造趣、情、美的愉快气氛,可以提高学生阅读的兴趣。 第四步体悟情感,渐入意境。叶圣陶先生把有感情地朗读叫“美读”,引导学生边读边用心体会文章。真情实感的表达是最好的,真情实感的流露不仅体现在写作文时,也体现在读课文时,这对学生来说是终身受益的。 (二)数学学科 作为数学教师,你认为让学生学好数学的前提是什么? 【参考答案】我认为必须深入钻研教材,准确地理解教材,驾驭教材。 因为呈现在学生面前的教科书不同于一般参考材料或其他一些课外读物,它是按照学科系统性要求,结合学生认知规律,以简练的语言呈现数学知识的。知识结构虽存在,但思维过程被压缩。学生看到的往往都是思维的结果,看不到思维活动的过程,思想、方法更是难以体现。这就需要教师对教材内容的呈现进行精心设计和加工,通过教学实践,体现数学本身丰富的内容,体现思维过程和思想方法。

因此,教师熟练地掌握教材,把教材教活,是使数学教学成为思维活动教学的前提,也是提高我们教学水平的前提。 (三)英语学科 作为初中英话老师,你认为如何给学生上好阅读课? 阅读课是训练学生阅读理解能力的主要途径,也是学生学习新知识和巩固旧知识的主要载体,同时阅读能力也是考试的重中之重。我们必须高度重视阅读课,充分开发阅读课的潜力,有效地利用阅读课的资源,通过各种方法来提高学生的阅读能力。上好初中英语阅读课,我认为应该从以下几个方面入手:第一,选好合适的阅读材料。课堂教学时间较短,因此阅读单词容量要适中,难易要结合学生的实际情况,不可高估学生的水平,太难会挫伤学生学习英语的主动性和积极性。另外,文章要贴近现实,符合学生的爱好。要有趣味性、科学性、知识性。 第二,掌握关键词,抓住中心意思。默读抓住主要的信息;大声朗读形成语感,更深刻地理解文章内涵;找出重点词汇,理解并运用;抓住文章的中心意思,形成完整印象。并运用自己的语言概括大意,思考后展示学生成果。 第三,换位思考,仔细研读出“门道”。不同时间、不同地点、不同环境,会有不同的意义。深挖下去,才能深刻理解。 第四,虽然是阅读文章,但如果仅仅是将它单纯地作为阅读文章来教学,不免有些枯燥。而如果将其转化为现实教学的形式就可向学生展示真实的语言环境,充分调动学生的眼、耳、脑、口对语言信息的综合反应,使学生有身临其境之感,从而激发其学习兴趣与主动参与的愿望。 第五,在阅读教学中充分利用多媒体技术。因为多媒体技术具有集图像、声音、动画、文字于一体等多种信息功能,而电脑接入因特网后,具有信息量大,信息变化速度快,信息资源高度共享等特点,是过去任何一种传媒无法比拟的。充分发挥多媒体课件的优势,从而改变学生怕上阅读课的通病。 (四)物理学科

相关文档
最新文档