基于71M6521的数字单相多功能电能表的设计

基于71M6521的数字单相多功能电能表的设计
基于71M6521的数字单相多功能电能表的设计

戚敏敏(1983 ),男,助理工程师,研究方向为电力仪器仪表及电力通信。

基于71M 6521的数字单相多功能电能表的设计

戚敏敏, 王青青

(杭申控股集团,浙江杭州 311234)

摘 要:介绍了单相多功能电能计量芯片71M 6521的基本功能和特点。在此基础上,设计了计量精度高、工作稳定、成本相对较低的数字单相多功能电能表,以实现对三相电流、电压,有功、无功功率,电能脉冲的输出等的计量。该数字单相多功能表可用于电力系统、工矿企业、智能大厦的电力监控。

关键词:计量;71M 6521;多功能电能表;单相;电力监控

中图分类号:TM 931 文献标识码:B 文章编号:1001-5531(2008)20-0009-04

D esign of D i gital Si ngle PhaseM ult-i Function

E lectric Energy M eter Based on 71M 6521

QI M inm in, WANG Q i n gqing

(H angshen H o ldi n g Group ,H angzhou 311234,Ch i n a)

A bstrac t :T he bas i c f unc ti on and feature o f sing le phase m ult-i f unc tion electr i c energy m easurem ent ch i p 71M 6521we re i ntroduced .The dig ital sing le phase mu lt-i functi on e l ec tric energy m eter o f h i gh -prec i sion m easure -m ent ,stab l e running ,

re l a ti ve l y l owe r co st ,w as desi gned ,and the measure m ent o f three -phase current ,vo ltage ,

acti ve and reactive power and t he output of e l ec tric pulse w ere rea lized .It cou l d be used f o r the po w er m on itor i ng o f the powe r system,i ndustria l and m ini ng enterpr ises ,i nte lli gent bu il d i ng .

K ey words :m eas u re m en t ;71M 6521;mu lt-i function electric en ergy m eter ;si ngle phase ;po w er m on-i tor i ng

王青青(1982 ),男,助理工程师,研究方向为电力仪器仪表与PLC 。

0 引 言

传统的多功能电能表采用专门的电能计量芯

片和微控制器结合的设计电路,两块芯片各自承当了一部分成本。本文中的设计使用了计量+M PU 结合在一起的专门电能芯片,其成本相当于传统多功能电能表中的电能计量芯片,节省了微控制器的开销,而且使用了较少的外围电路,设计方便,比传统电能表成本更低。

1 71M 6521芯片功能介绍

Ter i d ian 公司生产的71M 6521是一个高度集成的SOC (系统级芯片),包括MPU 内核、RTC 、F l a sh 和LCD 驱动器。另外,该芯片还配备了1个22b it 的 - ADC 、4个模拟输入、数字温度补

偿、精密参考电压和32b it 电能计算引擎,能够广泛地用于住宅电能表,而且只需要极少的外部元器件。整个系统由32.768kH z 晶体提供时基。系统电源掉电后RTC 及部分I /O RAM 的内容由电池备份。该I C 支持2线、3线和4线单相及两相住宅计量以及窃电检测。

由于片内集成了两个UART 、I 2

C / W ire 、多达18个数字I /O 引脚及可编程Flash ,该芯片具有较高的设计灵活性,可以在运行中对数据或者应用编码进行升级。另外,它易于转换成ROM,在大规模应用时具有较高的成本优势。

2 硬件设计

2.1 信号采集

信号采集电路如图1所示。对电压和电流的

9

现代建筑电气篇

图1 信号采集

采集分别采用了5mA /5mA 的电压互感器和5A /5mA 的电流互感器。使用互感器能有效地与外部强电进行隔离,不足之处就是引进了额外的相位差。5mA /5mA 的电压互感器输入端产生有效值为5mA 的电流时,输出端感应到有效值为5mA 的电流。当电压互感器初级端输入有效值为220V 的交流电时,初级线圈端就产生电流:

I UA =U A

R 39

(1)

计算得I UA =1.47mA 。查该芯片的数据手册得,引脚VA 和V3P3D 间的压差不能超过250mV,

需要选择合适的采样电阻阻值。该方案中选择了47 采样电阻R 40。

同理,5A /5mA 的电流互感器输入端输入有效值为5A 的电流时,输出端感应到有效值为5mA 的电流。该方案选择了12 采样电阻R 42。 图1中VD8、VD9和VD10、VD11主要是来吸收电路中出现的高电平脉冲,保护芯片的模拟口。R 41、C 18和R 43、C 19各自组成了各级的RC 低

通滤波电路,截止频率f c 为

f c =

1

2 RC

(2)

把R =1k ,C =33nF 代入式(2),得f c =4823kH z 。

2.2 控制部分电路

控制部分主要由71M 6521及外围电路(仿真接口、电压监测电路)以及外扩铁电组成,如图2、图3所示。可以用示波器观察引脚T MUXOUT31来判断时钟是否正常。外扩铁电可根据用户的不同要求保存系统参数等。

F M 24CL04是用先进的铁电技术制造的4

KB

图2 71M 6521连接电路图

的非易失性铁电随机存储器。FRAM 具有非易失性,并且可以像RAM 一样快速读写数据。在掉电状态下,数据可以保存10a ,比E 2

PROM 或其他非易失性存储器可靠性更高,系统更简单。 与E 2

PROM 不同,F M 24CL04以总线速度进行写操作,没有任何延时。数据送到FM 24CL04

10

图3 外围电路及外扩铁电

后直接写到具体的单元地址,下一个操作立即执行。F M24CL04可以无限次读写,写操作寿命比E2PROM高。此外,FRAM在写操作中比E2PRO M 耗电少,因为其写操作不要求内部电路提高写操作电压。

2.3 显示、按键电路

四位一体数码管结合发光二极管构成了显示界面,由于芯片I/O口的输出电流不能驱动发光管,因此用三极管9013进行扩流。整个显示电路采用动态扫描,74HC595用作片选信号,软件设计了5m s扫描时间,74HC164用于数据传送。

对键盘的扫描使用了片选信号和KEY信号。初始化时,KEY输出高电平,片选信号不断进行扫描,没有键按下时,KEY为高电平,当片选信号扫描到某个按键时,该按键按下,KEY就会被拉低,可以通过查询KEY电平或采用中断的方式,来判断哪个功能键被按下。例如:KEY脚高电平,K4键按下,先扫描LED_CS1,该片选脚(LED_ CS1)为高电平,其他片选脚(LED_CS2、LED_ CS3、LED_CS4)为低电平,KEY脚电平不变,保持为高电平。当扫描到LED_CS4时,根据电阻分压,KDI G12处的电压为1.1V,K4导通,KE Y脚被拉低,由此可以判断K4按下,调用相应的函数。处理完毕后,再把KEY置高电平,等待下一次按键按下。

3 软件设计

图4、图5所示是主要的软件设计流程图。电能表软件采用C51编写,主要实现

电能计量、

图4

主函数流程图

(a)定时器0中断子函数

(b)定时器1中断子函数

图5 定时器中断子函数流程图

显示、参数和电能的保存、电能脉冲的输出等基本功能。I C内部的CE模块是一个专用的32b it数字信号处理器,用来执行准确计量电能所需的精确运算,因此在进行电能计量的过程中,只要读取相应寄存中的数值,并进行一定的处理,如乘以相应的变比。在对铁电操作过程中,没有使用芯片

11

现代建筑电气篇

内部自带的II C模块,而是通过使用I/O口进行模拟。在软件的设计过程中,通过不断地发现问题、解决问题,使电能表功能越来越完善。

4 结 语

该电表通过专用芯片实现全数字设计,具有电能计量的功能。实际应用表明,该单相多功能电能表计量精度高、工作稳定、成本低,是用电系统中理想的用户用表,应用前景广阔。同时,设计过程中的一些思路和方法,对其他电能表的设计也具有一定的参考价值。

参考文献

[1] 马忠梅,张凯.单片机的C语言应用程序设计[M].

3版.北京:北京航空航天大学出版社,2004.

[2] 刘砚菊,张永良.多功能单相数字电能表的设计

[J].沈阳理工大学学报,2006(8):28-31.

[3] 赵文斌,刘音.基于ADE7753的单相多功能电能表

设计[J].电测与仪表,2007(7):25-28.

[4] T ER I D I AN公司.71M6521数据手册[G].

收稿日期:2008-06-25

(上接第4页)

[3] 张萌,徐建,孙大有.无线接收机的系统结构[J].电

路与系统学报,2001,6(1):99-102.

[4] E lli e C ij vat,Shahrzad T ad j pour,A sad A.A b i d.i Spur-i

ousM i x i ng o f O f-f Channe l S i gna l s i n a W ire less R e-

ce i v er and t he Cho ice of IF[J].IEEE T ransacti ons on

C ircuits and Syste m,2002,49(8):538-545.

[5] 凌聪,孙松庚.L og isti c映射跳频序列[J].电子学

报,1997,25(10):79-81.

[6] 王幸之,钟爱琴.AT89系列单片机原理与接口技术

[M].北京:北京航空航天出版社,2004.

收稿日期:2008-03-28

(上接第8页)

Person Agent、Equ i p m en t Agent和Adm i n A gent),建立了新型的MAS系统进行控制。该设计具有以下优点:

(1)Roo m A gent具有自学习能力,能够独立决策,可以最大程度地实现房间的舒适化目标;同时Roo m A gent设计为简单的变结构控制,可根据室内情况选择合适的模式,达到降低能耗的目的。

(2)Agent之间的基本关系是协作型的。为了全局目标节能,可以共享信息,相互之间协作,共同进行问题求解。

(3)A gent设计是开放式的,可以将建筑物的消防、安防设备接入Agen,t实现设备共享和更大范围的便捷。

Agen t技术是分布式人工智能领域的研究热点之一,本文对基于Agent的室内环境控制系统进行了初步的研究。今后需要继续深入研究个体Agen t模型和Agent之间的学习和协作,以及探索该方法在室内环境控制优化方面的应用。

参考文献

[1] THACK ERAY I.Sick Bu ildi ng Syndro m e[M].Syd-

ney:PublicW orks Comm ittee,1997.

[2] 江亿.我国建筑能耗状况及有效的节能途径[J].

暖通空调,2005,35(5):30-40.

[3] 弓南,刘学民,胡岚.对热舒适、空气感觉质量及能

耗的模拟研究[J].建筑热能通风空调,2004,23

(1):90-93.

[4] 龙惟定.我国大型公共建筑能源管理的现状与前

景[J].暖通空调,2007,37(4):19-23.

[5] 李俊,赵荣义.个体化微环境调节研究进展[J].

暖通空调,2003,33(3):52-57.

[6] 廖守亿,戴金海.复杂适应系统及基于A gen t的建

模与仿真方法[J].系统仿真学报,2004,16(1):

113-117.

[7] 邓宏钟,谭跃进,迟妍.一种复杂系统研究方

法 基于多智能体的整体建模仿真方法[J].

系统工程,2001,18(4):73-78.

[8] 郭齐胜,董志明,李亮,等.系统建模与仿真[M].

北京:国防工业出版社,2007.

[9] 谢晓娜,宋芳婷,燕达,等.建筑环境设计模拟分析

软件DeST第2讲建筑动态热过程模型[J].暖通

空调,2004,34(8):35-47.

[10] 史忠植.智能主体及其应用[M].北京:科学出

版社,2001.

收稿日期:2008-05-20

12

数字信号发生器课程设计

数字信号发生器的设计 摘要 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 本文通过在单片机的外围加上键盘,控制波形的种类和输出频率的大小,加上LED 显示出相应信息。单片机输出为数字信号,于是在输出端用DAC0832进行D/A转换,再通过两级运放对波形进行调整。最终在示波器上显示出来。 关键词:信号发生器, AT89C51,D/A转换,波形调整

目录 1 绪论 (1) 1.1 课题研究背景 (1) 1.2波形介绍 (1) 2系统设计 (3) 2.1方案选择 (3) 2.2框图设计 (3) 2.3单片机模块 (4) 2.4按键控制与显示电路设计 (6) 2.5 D/A转换电路 (7) 2.6 显示电路 (9) 2.7 放大电路设计 (12) 2.8整体的电路原理图 (13) 2.9元件清单 (13) 3软件设计 (15) 3.1程序流程图 (15) 3.2程序代码 (15) 4系统仿真及调试 (18) 4.1系统仿真图 (18) 4.2系统调试 (19) 总结 (21) 致谢 (22) 参考文献 (23)

1绪论 1.1课题研究背景 随着经济与科技不断发展,相应的测试仪器与手段也有了许多改善与提高,但是对之要求也不断提高。波形发生器的信号已知,使用者然后根据具体的要求,将其作为激励源,测得感兴趣的参数。信号源仿真各种测试信号,给待测电路,从而满足现实需求。信号发生器在仿真实验占有重要地位,对于测试仪器来说也同样不可缺少。因此对相关信号发生器的研究开发有着一定的意义。 传统的信号发生器电路复杂,控制灵活度不够,成本也相对较高。虽然我国所研制的波形发生器在一定程度上已有了一些成果,但与国外技术确实还存在一定差距,因此很有必要提高相关方面的研究。 利用单片机的控制灵活性,外设处理能力强等特点,实现频率与幅度可调的多种波形,这就克服了传统的缺点,具有良好的实用性。同时根据程序的易控制性,可以容易实现各种较复杂的调频调幅功能。 1.2波形介绍 正弦波,正弦信号可用如下形式表示 f (t)=A sin(ωt+θ) (1) 其中,A 为振幅,ω是角频率,θ为初相位。正弦函数为一周期信号如下图1所示: 图1正弦波 ·方波 方波函数是我们常用且所熟知的简单波形函数,做脉冲等,其表示形式如下:

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

(数字信号发生器+电子琴)实验报告

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

单相电能表的设计与实现

毕业设计 设计题目单相电能表的设计与实现 学生姓名 学号 专业班级 指导教师 院系名称计算机与信息学院

2015 年月日 目录No table of contents entries found. 单相电能表的设计与实现 摘要:随着我国近年来经济技术的快速发展,企业和居民对电能的需求越来越大。但是传统的机械式电表计费单一、计量误差较大、寿命较短,已经不 足以满足人们的需求,所以开发一款寿命长、计量精准的多功能电子式电 能表就成为一种必然趋势。 本文主要是基于芯片ADE7755设计的一种针对于普通家庭用户使用的电子式单相电能表。该设计采用高精度电能计量芯片ADE7755来计量用电量,并使用51单片机来控制整个电路。通过电流、电压的信号采集,数模转换,功率计算,带掉电存储和显示等硬件设计,并结合软件编程实现了电能表的正常工作。本文主要介绍了电能表的工作原理,电能计量模块,显示模块,数据存储模块,以及软件设计模块。所设计的数字化单相电能表具有成本低廉、结构简单、性能可靠、计量精准等优点,具有一定的实用价值和推广价值。 关键词:ADE7755;电能表;单片机

Design and implementation of single-phase energy meter Abstract: With the rapid development of China's economy in recent years, technology, business and household demand for electricity is growing. But the traditional mechanical meter single billing, measurement error is large, short-lived, it has been insufficient to meet people's needs, so the development of a long-life, multi-function electronic metering precise electrical energy meter has become an inevitable trend . This article is based on a chip designed for electronic ADE7755 single-phase energy meter for ordinary home users. The design uses a high-precision chip ADE7755 energy metering to measure electricity consumption and use 51 microcontroller to control the entire circuit. By signal acquisition current, voltage, digital to analog conversion, power calculation, with power storage and display hardware design, combined with software programming work to achieve a normal meter. This paper describes the working principle of electric energy meter, energy metering module, display module, data storage module, and software design module. Designed

四位数字显示函数信号发生器的设计和制作

《综合电子技术》 课程设计指导书 四位数字显示函数信号发生器 的设计和制作 汤栋王尧编 三江大学 电气工程与自动化学院 二OO七年十二月

、设计目的

在《模拟电子技术》和《数字电子技术》课程学习和实验的基础上 ,通过《综合 电子技术》课程设计,使学生在电子技术基础知识和设计、调试能力方面达到以下要 求: 1. 进一步加深理解电子线路基本功能单元的工作原理及其电路设计、参数选择方 法; 2. 学会绘制电路原理图、接线图,学会正确安装、调试并排除常见故障; 3. 熟悉示波器、信号发生器、稳压电源及晶体管毫伏表的正确使用,重点要求学会 使用示波器观测信号波形、幅值。 二、 设计任务 设计一个能输出正弦波、锯齿波、矩形波等信号频率,并能数字显示(四位)频率的 多波形函数发生器。 三、 技术指标 该波形发生器的主要技术指标如下: 1. 可输出正弦波、锯齿波(含三角波)、矩形波(含方波)等波形; 2. 输出信号频率范围:1HZ~9999H 并能四位数码显示。 四、 系统框图和各功能单元介绍及要求 1. 系统框图:本设计为一具有四位数字显示频率的函数发生器,其系统框图如下: 图一系统框图 2. 各单元电路及要求: 1) 电源部分 设计一组土 1.2V ?土 20V 可调直流稳压电源 2) 信号源部分 正弦波信号源: 叵洼稳压电煩 士 I2V 正弦信号濒 T 柜形渡墙号腫T *输出,正弦疲 f\f\

输出正弦电压频率f o=1KHZ f o=1OKHZ M档; 输出正弦电压V O(有效值)0.5V?5V可调;输出直流偏移电压范围:O?± 3V; 矩形波信号源 输出矩形波电压频率:1KHZ、10KHZ两档;输出矩形波电压幅值: ± 5V;输出矩形波电压直流偏移电压范围: 0 ?± 3V; 锯齿波信号源 锯齿波频率:1KHZ、10KHZ两档;锯齿波电压幅值:± 4V;可输出正反向锯齿波及三角波; 3)秒信号源:产生周期为一秒的方波信号,作为测控时基信号。 4)控制单位:产生一系列顺序脉冲,用作计数,保持,显示和复位控制,使频率计按时序 正常工作。 5)偏移放大、整形电路:将输入正弦波、三角波等被测信号变换为方波脉冲序列,以便测 量其频率。 6)计数闸门:用于产生一秒钟内的被测信号脉冲个数,便于后面电路计数显示。 7)计数、译码、驱动和显示电路:在控制电路产生的顺序脉冲控制下,周期性地计数和显 示被测信号频率。 3. 选做部分 1 )频率显示时间延长; 2)加秒信号输出功能; 3)溢出指示。 五、设计要求 1.选择各部分电路结构,按上列指示要求,设计计算有关电路各参数,并最终选出元器件;2.画出各部分电路原理图及接线图,列出各电路元器件的明细表。(注意电路图中各元器件统一编号); 3.在原理图上标明各级电路预期的输出波形及测量值,并在接线图上选定测试点; 六、调试要求 1.列出各部分电路调试过程并自拟数据表格和所需测试的有关波形,做详细记录。 2.记录调试过程中出现的故障,经过分析并提出解决的办法。

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

基于DDS的数字移相信号发生器

EDA课程设计 课题名称_ 基于DDS的数字移相信号发生器 专业_ 电子信息工程____ _ _ 班级_____ _________ __ __ 学号_ 姓名_ __ __ 成绩_____ ____________ _ 指导教师___ _ ___ ___ 2014年 5 月7日

一、课程设计目的 (3) 二、设计任务 (3) 三、工作原理及模块分析 (3) 1、频率预置与调节电路 (4) 2、累加器 (4) 3、波形存储器 (4) 4、D/A转换器 (5) 四、相关程序 (5) 1、加法器 (5) (1)ADD10 (5) (2)ADD32 (7) 2、寄存器 (8) (1)REG10B (8) (2)REG32B (10) 3、ROM (11) 4、主程序 (13) 五、仿真结果: (16) 六、引脚配置和下载 (17) 七、实验心得 (18)

一、课程设计目的 1、进一步熟悉Quartus Ⅱ的软件使用方法; 2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPM ROM、LPM_FF 的使用方法; 3、学习FPGA硬件资源的使用和控制方法; 4、掌握DDS基本原理,学习利用此原理进行信号发生器的设计 二、设计任务 完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位,实现相位和频率可调的正弦信号发生器 三、工作原理及模块分析 直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。 一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示: 频率预置与调节电路 累加器 累加器波形存储器 波形存储器D/A转换器 D/A转换器低通滤波器 低通滤波器K N位 N位 fc S(n) D位 S(t) 图1直接数字频率合成器原理图 其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM 数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

国网单相智能电能表设计概要

国网单相智能电能表设计概要 随着电子技术的迅速发展和不断成熟,电子式电能表在我国得到了广泛的使用,成为主要的电能量贸易结算器具,在电网技术由自动化向智能化方向发展的趋势下,电子式电能表将向智能电能表过渡。智能电能表在电能量计量的基础上具有信息存储及处理、实时监测、自动控制、信息交互等功能,数据安全传输和存储是实现以上功能的基础,因此如何保证信息传递、信息保存的安全性已经成为智能电能表的关键性因素。 1智能电能表基本架构 1.1基本架构 (1)硬件架构 智能电能表在硬件上主要包括电压/电流采样电路、计量单元、中央控制单元(MCU)、电源模块、存储单元、控制回路、红外通信、IC卡接口、安全论证单元等部分组成,其中数据安全防护重点为数据存储区和通信接口。在数据存贮方面,采用FLASH芯片和EEPROM两种芯片,FLASH芯片容量大,成本较低,但擦写次数一般为10万次,所以主要存储负荷曲线、事件记录等历史数据;EEPROM芯片单片存贮容量较小,价格相对较高,但一般存储电量、金额以及表计的设置参数等重要数据。在对外通信接口方面,红外通信接口、485通信接口、CPU 卡接口以及以窄带载波,其它近距离无线和无线公网为主的其他通信接口,暂不考虑。 电压 采样 电流采样计量 芯片 MCU单元 存储 单元 控制 回路 485接口 电源 模块 实时 时钟 通讯 单元 功率脉冲 输出 红外通信 Lc卡接口 LC D显示 操作接口图1 智能电能表硬件框图 (2)功能架构 智能电能表以电能量计量、信息存储及处理、实时监测、自动控制、信息交互功能为特征,根据国网公司的要求,有以下功能: 计量功能:正确计量正反向总有功电量,并单独存储; 费率时段:正确计量各费率时段有功电量和总有功电量; 数据存储和冻结功能:存储结算日或按照约定的时间或时间间隔的总电能、各费率电能、需量等信息; 事件记录:存储失压、失流、断相、开盖、远程控制等事件发生时间、结束时间和相应的电能量数据;

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

信号发生器概述

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字信号发生器

第1章摘要 MATLAB是一个数据分析和处理功能十分强大的工程实用软件,具有很多工具箱,他的数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令,以及数字信号处理工具箱使在数字信号处理方面方便实用。数字信号发生器是一种基于软硬件实现的波形发生器,可以实现各种基本波形的产生。由于工程中各种复杂的信号是由这些基本信号叠加而成的,而这些简单信号都可以有数字信号发生器来实现,在工程分析和实验教学中广泛实用,所以设计一种简单而实用的数字信号发生器很有必要。 在本文中将介绍用matlab设计一个简单的信号发生器的基本流程,详细的介绍设计的技术路线和实现方法以及存在的问题。 关键词:Matlab,数字信号发生器

Abstract MATLAB is an very powerful and practical software in data analysis and processing in engineering, it contains many toolboxes such as data acquisition toolbox and data processing toolbox. It's data acquisition toolbox provide many very convenient functions and commands for the input and output of data. Digital signal generator is a software and hardware based waveform generator, can produce a variety of basic waveform. In engineering, many complex signals are combined with this basic waveform, so it is necessary to develop a digital signal generator for teaching and experiment use. In this article, I will introduced how to design a simple signal generator in details. I will also introduce the technology route and my problems. Keywords: Matlab, Digital Signal Generator

基于单片机的信号发生器的设计

唐山师范学院 题目基于单片机的信号发生器的设计 院系名称:电子信息科学与技术 学号: 摘要 波形发生器即简易函数信号发生器,是一个能够产生多种波形,如三角波、锯

齿波、方波、正弦波等波形电路。函数信号发生器在电路实验和设备仪器中具有十分广泛的用途。通过对函数发生器的原理以及构成分析,可设计一个能变换出三角波、锯齿波、方波、正弦波的函数波形发生器。在工业生产和科研中利用函数信号发生器发出的信号,可以对元器件的性能及参数进行测量,还可以对电工和电子产品进行指数验证、参数调整及性能鉴定。常用的信号发生器绝大部分是由模拟电路构成的,当这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不仅参数准确度难以保证,而且体积和功耗都很大,而由数字电路构成的低频信号发生器,虽然其性能好但体积较大,价格较贵,因此,高精度,宽调幅将成为数字量信号发生器的趋势。 本文介绍的是利用89C52单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了 DAC0832数模转换器的结构原理和使用方法,89C52的基础理论,以及与设计电路有关的各种芯片。文中着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。 本设计核心任务是:以AT89C52为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。

关键词: AT89C52单片机函数波形发生器 DAC0832 方波三角波正弦波 目次 1 引言 (4) 2 系统设计 (6) 方案 (6) 器件选择 (6) 总体系统设计 (6) 硬件实现及单元电路设计 (7) 单片机最小系统设计 (7) D/A转换器 (8) 运算放大器电路 (10) LED显示器接口电路 (11) 波形产生原理及模块设计 (11) 显示模块设计 (13) 键盘显示模块设计 (14) 软件设计流程 (14) 软件中的重点模块设计 (14) 3 输出波形种类与频率的测试 (18) 测量仪器及调试说明 (18) 调试过程 (18) 调试结果 (22) 结论 (23) 致谢 (25) 参考文献 (26) 附录A 源程序 (27)

相关文档
最新文档