实验六 数字钟实验

实验六 数字钟实验
实验六 数字钟实验

综合性实验

数字电路实验

实验六多功能数字钟的设计

一、实验目的

1.掌握常见进制计数器的设计。

2.掌握秒脉冲信号的产生方法。

3.复习并掌握译码显示的原理。

4.熟悉整个数字钟的工作原理。

5.熟悉使用Multisim进行模拟仿真。

二、实验原理

本实验要实现的数字钟的功能是:

○1准确计时,以数字形式显示时、分、秒的时间;

○2小时计时的要求为“12翻1”,分与秒的计时要求为60进制;

○3具有校时功能;

○4模仿广播电台整点报时(前四响为低音,最后一响为高音)。

数字钟一般由晶振、分频器、计时器、译码器、显示器和校时电路等组成,其原理框图如下:

图6-1数字钟的原理框图

该电路的工作原理为:

由晶振产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”的规律计数,到小时计数器也计满后,系统自动复位重新开始计数。计数器的输出经译码电路后送到显示器显示。计时出现误差时可以用校时电路进行校时。整点报时电路在每小时的最后50秒开始报时(奇数秒时)直至下一小时开始,其中前4响为低音,最后一响为高音。分别为51秒,53秒,55秒,57秒发低音,第59秒发高音,高音低音均持续1秒。

1.晶体振荡器

晶体振荡器是数字钟的核心。振荡器的稳定度和频率的精确度决定了数字钟计时的准确程度,通常采用石英晶体构成振荡器电路。一般说来,振荡器的频率越高,计时的精度也就越高。在此实验中,采用的是信号源单元提供的1HZ秒脉冲,它同样是采用晶体分频得到的。

2.分频器

因为石英晶体的频率很高,要得到秒信号需要用到分频电路。由晶振得到的频率经过频器分频后,得到1Hz的秒脉冲信号、500Hz的低音信号和1000Hz的高音信号。

3.秒计时电路

由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完成一分钟之内秒数目的累加,并达到60秒时产生一个进位信号,所以,选用一片74LS90和一片74LS92组成六十进制计数器,采用反馈归零的方法来实现六十进制计数。其中,“秒”十位是六进制,“秒”个位是十进制。

图6-2秒计时电路图

4.分计时电路

“分”计数器电路也是六十进制,可采用与“秒”计数器完全相同的结构,用一片74LS90和一片74LS92构成。

5.小时计时电路

图6-3小时计时电路图

“12翻1”小时计数器是按照“01——02——03——……——11——12——01——02——……”规律计数的,这与日常生活中的计时规律相同。在此实验中,小时的个位计数器由4位二进制同步可逆计数器74LS191构成,十位计数器由D触发器74LS74构成,将它们级连组成“12翻1”小时计数器。

计数器的状态要发生两次跳跃:一是计数器计到9,即个位计数器的状态为Q03Q02Q01Q00=1001,在下一脉冲作用下计数器进入暂态1010,利用暂态的两个1即Q03Q01使个位异步置0,同时向十位计数器进位使Q10=1;二是计数器计到12后,在第13个脉冲作用下个位计数器的状态应为Q03Q02Q01Q00=0001,十位计数器的Q10=0。第二次跳跃的十位清0和个位置1信号可由暂态为1的输出端Q10,Q01,Q00来产生。

6.译码显示电路

译码电路的功能是将“秒”、“分”、“时”计数器中每个计数器的输出状态(8421码),翻译成七段数码管能显示十进制数所要求的电信号,然后再经数码管把相应的数字显示出来。

译码器采用74LS248译码/驱动器。

显示器采用七段共阴极数码管。

7.校时电路

当数字钟走时出现误差时,需要校正时间。校时控制电路实现对“秒”、“分”、“时”的校准。在此给出分钟的校时电路,小时的校时电路与它相似,不同的是进位位。

图6-4校时电路图

8.整点报时电路

图6-5整点报时电路图

当“分”“秒”计数器计时到59分50秒时,“分”十位的01014444Q

Q Q Q D C B A =,“分”个位的10013333Q Q Q Q D C B A =,“秒”十位的01012222

Q Q Q Q D C B A =,“秒”个位的00001111

Q Q Q Q D C B A =,由此可见,从59分50秒到59分59秒之间,只有“秒”个位计数,而4433221C A D A C A Q Q Q Q Q Q ======,将它们相与,即:443322C A D A C A C Q Q Q Q Q Q =,每小时最后十秒钟1C =。在51、53、55、57秒时,“秒”个位的11A Q =,10D Q =;在59秒时,“秒”个位的11A Q =,11D Q =。

将C ,1A Q ,1D Q 相与,让500Hz 的信号通过,将C ,1A Q ,1D Q 相与,让1000Hz 的信号通过就可实现前4响为低音500Hz ,最后一响为高音1000Hz ,当最后一响完毕时正好整点。

9.报时音响电路

报时音响电路采用专用功率放大芯片来推动喇叭。报时所需的500Hz 和1000Hz 音频信号,分别取自信号源模块的500Hz 输出端和1000Hz 输出端。

三、实验设备与器材

1.双踪示波器,脉冲源(可以使用实验箱所带信号源)。

2.数字逻辑电路实验箱。

3.万用表等实验室常备工具。

四、实验内容

1.设计实验所需的时钟电路,自己连线并用multisim 调试。

2.设计实验所需的分频电路,自己连线并用multisim 调试,用示波器观察结果。

3.设计实验所需的计数电路部分,自己连线并用multisim 调试,将实验结果填入自制的表中。

4.设计实验所需的校时电路和报时电路,自己连线并用multisim 调试,记下实验结果。

5.根据数字钟电路系统的组成框图,按照信号的流向分级安装,逐级级联,调试整

个电路,测试数字钟系统的逻辑功能并记录实验结果。

五、实验步骤

1、秒计时电路:将“秒计时脉冲”接信号源单元的1HZ 脉冲信号,此时秒显示将从

00计时到59,然后回到00,重新计时。在秒位进行计时的过程中,分位和小时位均是上电时的初值。

2、分计时电路:将“分计时脉冲”接信号源单元的1HZ 脉冲信号,此时分显示将从

00计时到59,然后回到00,重新计时。在分位进行计时的过程中,秒位和小时位均是上电时的初值。

3、小时计时电路:将“小时计时脉冲”接信号源单元的1HZ 脉冲信号,此时小时显

示将从01计时到12,然后回到01,重新计时。在小时位进行计时的过程中,秒

位和分位均是上电时的初值。

4、数字钟级连实验:将“秒计时脉冲”接信号源单元的1HZ脉冲信号,“秒十位进位

脉冲”接“分计时脉冲”,“分十位进位脉冲”接“小时计时脉冲”,此时就组成

了一个标准的数字钟。进位的规律为:秒位计时到59后,将向分位进1,同时秒

位变成00,当分位和秒位同时变成59后,再来一个脉冲,秒位和分位同时变成

00,同分位向小时位进1,小时的计时为从01计时到12,然后回到01。

5、校时电路:拆掉上述级连时的连线。再将“秒计时脉冲”,“校时脉冲”,“校分脉

冲”接信号源单元的1HZ秒脉冲信号,“秒十位进位脉冲”接“秒十位进位位”,

“分十位进位脉冲”接“分十位进位位”,“分校准”接“分计时脉冲”,“时校准”

接“小时计时脉冲”,此时就可以对数字钟进行校准。S601校准分位,在校准分位

的过程中,秒位的计时和小时位不受任何影响,S602校准小时位,同样在校准小

时位时,秒位和分位不受影响。

6、报时电路的:保持步骤5的连线不变,将“报时输出”接扬声器的输入端(实验

箱右下角),“报时高音”和“报时低音”分别接信号源单元的1KHZ,500HZ信号。

将分位调整到59分,当秒位计时到51秒时,扬声器将发出1秒左右的告警音,

同样在53秒,55秒,57秒均发出告警音,在59秒时,将发出另外一种频率的告

警音,提示此时已经是整点了,同时秒位和分位均变成00,秒位重新计时,小时

位加1。

7、以上均是先连线,然后上电做实验。

六、实验预习要求

1.复习计数器、译码器及七段数码管的的原理及使用。。

2.绘出实验各组成部分的详细电路图。

3.准备好实验用的表格等。

4.仔细阅读实验指导书,弄清楚每一部分的实验原理。

七、实验报告要求

1.绘出整个实验的线路图。

a)分析、总结实验结果。

b)思考:若将小时电路改为“24翻1”,则应作什么修改?若要给电路加上整点报时

功能,几点则报几声,电路又该如何修改?

c)级连时如果出现时序配合不同步,或尖峰脉冲干扰,引起逻辑混乱,试思考如何

消除这些干扰和影响。

d)显示中如果出现字符变化很快,模糊不清,试思考如何消除这种现象。

八、元件分布图

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

数字钟

中国矿业大学徐海学院 电子技术综合设计 姓名:学号: 22090819 专业:信息09-2 题目:多功能数字钟 专题:电子技术综合设计 设计地点:电工电子实验室 设计日期: 成绩:指导教师: 年月

电子技术综合设计任务书 学生姓名专业年级信息09-2 学号22090819 设计日期:年月日至年月日 实践课程:电子技术综合设计 设计题目:多功能数字钟 设计内容和要求: 1. 主要内容: ①用 CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0 的计数电路 ②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显 示电路(数码管需加限流电阻) ③用555设计CP脉冲源 (f=1KH) ④具有系统校准功能 2. 整体电路原理图 60秒、60分、24小时---- 计数、译码、显示电路(用8K白纸手工画图) 3. EWB仿真图 60秒、60分、24小时---- 计数、译码、显示电路(计算机打印) 4. 设计原理图 用PROTEL99设计原理图(计算机打印) 5. 设计PCB版图 用PROTEL99设计PCB板图(计算机打印) 6. 功能扩展要求

设计:①定点报时功能②12小时归1计数电路 指导教师签字:年月日 摘要:本设计主电路由振荡器、分频器、计数器、显示器组成。计数电路用CC4518计数器,译码电路用CC4511译码,显示电路用LG5011AH共阴数码管,秒脉冲信号发生器用555构成多谐振荡器用发光二极管作输出显示。实现60秒、60分、24小时的计数、译码、显示等基本功能;具有校准功能;自带秒脉冲信号发生器;定点报时闹时控制仿广播电台整点报时自动报整点时数。32768Hz 晶振构成秒信号发生器,先经过CD4060的14级分频分出2Hz,再经过CD4040的2分频分出秒脉冲。 关键词:秒脉冲;计数器;校时;报时

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

电工实验二数字钟南理工

电工电子综合实验(2) 多功能数字钟设计 姓名: 学号: 专业:电气工程及其自动化 时间:2017年9月 目录 一?设计内容简介 (3) 二?实验要求 (3) 三?实验原理 (4) 四.电路设计原理及其电路图 (5) 1. 分频电路 (5) 2. 计时电路 (6) 3. 清零电路 (8) 4. 校分电路 (9) 5. 报时电路 (10)

五?遇到问题及解决办法?11 六?实验体会 (12) 七?附录 (12) 1. ............................................................................... 工具及器件清单12 2各元件的引脚图及功能表 (14) 3总电路逻辑图 (18) 4. .............................................................................. 参考文献19 一.设计内容简介 本实验采用中小规模集成电路设计一个由脉冲发生电路,计时电路,译码显示电路, 和控制电路(包括清零电路,校分电路,和报时电路)等四部分组成的数字计时器。 二.实验要求 1、设计一个脉冲发生电路,为计时器提供脉冲、为报时电路提供驱动蜂鸣器的脉冲信 号; 2、设计计时电路,完成0 分00秒—9分59秒计时功能; 3、设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以 进行计时器清零;

五?遇到问题及解决办法?11 4、设计校分电路,在任何时候,拨动校分开关,可进行快速校分;

5、设计报时电路,使数字计时器从9分53秒开始报时,每隔两秒发一声,共发三声 低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1KHZ, 9分59秒发高 音(频率2KHZ ; 6系统级联调试,将以上电路进行级联完成计时器的所有功能; 三?实验原理 数字计时器由脉冲发生电路、计时电路、译码显示电路、校分电路、清零电路和报时电 路这几部分组成。其原理框图如下: 数字计时器以一个标准频率(1Hz)进行计数。为了其准确并且稳定,实验使用了石英晶体振荡 器构成脉冲发生电路。为了使电路更加简单,使用CC4518的对计时器的秒的个位和分的十位 进行计数,用74LS161构成模六(六进制)计数器实现对秒的十位进行计数。利用计数器的异 步清零端,通过简单的电路使电路具有开机清零功能和随时清零功能。利用校分电路,校正分时 刻的数字,并先于蜂鸣电路来节省时间。同时增加电台报时功能。 四?电路设计原理及电路图 1. 分频电路 秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。为提供较为精确的秒 脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。分频器CD406C最高可实 现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来 产生1Hz的秒脉冲信号。将D触发器的Q端与D端扭接在一起实现倍频器,则Q端的输出信 号即为1Hz的秒脉冲信号。报时电路所需要的1KHz 2 KHz的脉冲信号由4060的管

Verilog数字钟数电实验报告

专业:电子信息工程班级:电信1305班日期:2015.5.5 第3次实验 姓名:康健组别: 6 指导教师:成绩: 实验课题:EDA多功能数字钟 1、已知条件 Quartus II软件、FPGA实验开发装置。 2、主要技术指标 以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分。 3、实验用仪器 PC、FPGA开发板、示波器、稳压电源等 4、电路工作原理 所谓的时钟,其实本质上就是计数器。以开发板上的晶振时钟作为时间基准。 然后通过分频模块(计数器)进行分频,得到1Hz的脉冲信号作为秒的信号脉冲,然后用模60的计数器构成秒的计数单元。每记60下就自动清零且产生进位信号。将这个进位信号作为分的计数器的使能信号,其中,分计数器也是模为60的计数器。这里的计数器都是由模10和模6 组成的BCD码的计数器。个位和十位分别是一个四位的数字。同理,每记满60,分计数器就会产生一个进位信号,这个进位信号作为小时的使能信号。小时的计数器就是模24的BCD计数器。注意,这里的整个电路都是用1HZ的频率作为时间脉冲的,也就是说,这个电路是同步时序的电路。通过使能,来控制各个部分的时序逻辑。将小时和分的使能信号在总是为有效电平和下一级进位信号做选择,就是时钟调时状态和正常计时状态的切换。当在调时状态的时候,时钟每完成一个周期,无论是分钟还是小时,就向前加1,。最后,将分钟和小时通过译码器连接到数码管。将秒直接连接到LED灯,完成整个工程的基本功能(扩展功能见选作的实验报告)。 5、电路设计与调试 1、模10计数器的设计

2、模6计数器的设计 3、模60计数器设计(分、秒计数) 4、模24计数器设计(小时计数)

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字钟

数字电路综合设计实验——数字钟 1、 实验目的 (1)学习数字电路系统的设计方法、装调技术及数字钟功能扩展电路的设计。 (2)从实际电路角度出发,建立系统的概念,培养学生设计思想,动手能力,培养综合运用数字电路的能力。 2、预习要求 (1)预习石英晶体振荡器、分频器、计数器、译码器的工作原理,了解所给芯片的使用方法,掌握常用器件的外围电路,掌握60,24进制的电路接法。 (2)根据指导书所示原理框图,在给定的元器件范围内,设计数字钟电路图,并标出集成芯片的型号和引脚号码(便于安装、调试)。 3、实验任务 (1)给定的主要器件如下: CD4060 、74LS74、74LS153、74LS90、74LS390、CD4511、74LS85、74LS00、74LS08、74LS32、74LS04、74LS02、按键、电阻 (2)功能要求: ①基本功能:以数字形式显示时、分、秒的时间,小时的计时要求为“24翻1”,分和秒的计时要求为60进位,校时功能,正点报时; ②扩展功能:闹时功能; 根据本实验指导,学生从上述芯片中自行选择来完成数字钟电路的设计与装调。 4、实验电路的原理与设计 (1)数字钟电路的组成 数字钟的原理组成框图如图1所示。 图1 数字钟原理框图 在图1中,秒脉冲发生器是数字钟的核心,它产生的秒信号输入秒计数器进行计数,当达到59时产生进位信号给分计数器,秒计数器复位的同时分计数器开始计时。当分计数器达到59时产生进位信号给时计数器,分计数器复位的同时时计数器开始计数。 (2)数字钟主体电路的设计

①秒脉冲产生电路 秒脉冲产生电路可由555电路产生,也可由石英晶体振荡电路产生。石英晶体振荡电路产生的频率精确度高,故在本实验中可选用14级二进制串行计数/分频器CD4060得到精确频率。欲得到1秒信号,还需要加入分频电路。 图2 秒脉冲产生电路 ②计数器电路 可用于计数的芯片很多,比如可预置的 4 位二进制同步计数器(74LS161),可二/五分频十进制计数器(74LS90),可预置BCD双时钟可逆计数器(74LS192),双十进制计数器(74LS390)等,本实验中可选用上述芯片来进行计数。利用其典型应用电路即可。 ③译码显示电路 通过计数器所得的秒脉冲的个数为二进制代码,只有通过译码才能转化为十进制,才能通过LED数码管来进行直观观察。常用的译码芯片有BCD 码—七段码译码器(CD4511),BCD-7段译码器/内部上拉输出驱动芯片(74LS48)。 译码显示部分采用常用译码芯片构成典型应用电路即可。 ④仿广播电台正点报时电路 仿广播电台正点报时电路的功能要求是每当数字钟计时快到正点时发出声响,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻。要求4声低音(500HZ)分别发生在59分51秒、53秒、55秒、57秒,最后一声高音(1KHZ)发生在59分59秒,它们的持续时间均为1秒。 图3报时电路框图 分析蜂鸣器电路工作时的分、秒位数值,利用相关门电路完成正点报时功能。 ⑤校时电路 由于数字钟接通电源或者计时可能出现误差,这就需要校正时间。二种思路可供参考:I.通过改变计数频率来校时。利用多路数据选择器,加上频率选择电路来控制计数器计数的频率,从而达到校时的目的。校时结束时,通过数据选择器选中1HZ频率,计数器

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

数字钟课程设计

摘要本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。 数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。 关键字:数字时钟,振荡器,计数器,报时电路

目录 1 绪论 0 1.1课题描述 0 1.2设计任务与要求 0 1.3基本工作原理及框图 (1) 2 相关元器件及各部分电路设计 (2) 2.1相关主要元器件清单 (2) 2.2 六十进制“秒”计数器设计 (3) 2.3 六十进制“分”计数器设计 (4) 2.4 二十四进制计数器设计 (4) 2.5 秒脉冲电路设计 (5) 2.6整点报时电路设计 (6) 3 总体电路图 (7) 总结 (8)

电子电工课程设计(多功能数字时钟万历)

物理与电子科学学院电子电工实验 基于DS1302多功能数字时钟--万年历 实验报告 实验名称:基于DS1302多功能数字钟 试验日期: 2014年 01 月 05 日 专业:电子信息工程 姓名:刘斌 班级:物电 1105 班 学号: 2011112030560

一、设计理念: 电子万年历是一个应用非常广泛的实用日常计时工具,带有显示温度,显示世纪,年,月,日,星期,时,分,秒和按键可调时间及其按键设置闹钟的功能,同时具有月末自动更新,闰年补偿功能,整点报时等多种功能。环境温度检测系统在日常生活和工业应用非常广泛,能实时采集周围的温度信息进行显示。 此系统是基于STC89C52单片机设计的,包含液晶显示模块,DS1302实时时钟模块,DS18B20温度采集模块,键盘扫描模块,蜂鸣器报警模块。STC89C52作为控制核心,具有功耗低,功能强等特点,电压可选3到5V电源供电。显示模块采用1602液晶动态显示,相对数码管而言经济实用,占用空间小,对于显示数字、字母最为合适,而且与单片机连线简单,占用IO口相对较少。实时时钟芯片DS1302是一款经济实惠功能强大的较新型产品,该器件提供RTC/日历,可外加器件实现定时闹钟等功能,如果检测到主电源故障,该器件可自动切换到备用电源供电,可以保证在断电情况下精准走时,计时。温度检测显示模块采用数字式温度传感器DS18B20,该芯片具有精度高,测量范围广等优点,易与单片机连接,模块电路组成简单并同时具有温度报警功能。 关键词:STC89C52,DS1302,DS18B20,1602液晶显示,电子万年历,采集设备周围环境温度、整点报时,闹钟时分通过按键设置,时、分、秒、年、月、日、星期通过按键进行调节校准……

南昌大学数字钟实验报告

数 字 钟 实 验 报 告 课 程: 专业班级: 学生姓名: 学 号: 2014年 12月 22 日

多功能数字钟设计 一、设计任务 设计一多功能数字钟并进行仿真以及PCB制版。 二、设计要求 基本功能:准确计时,以数字形式显示时、分、秒的时间。 扩展功能:校正时间 PCB制版要求:尽量单面板、尺寸为200mm*150mm、焊孔0.5mm等三、设计方案 数字钟设计方案基本框图如下: 时的设计: 时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00,01,…,22,23,00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00时00分00秒。这样,可利用反馈置数或反馈清零法进行二十四进制计数。 分、秒的设计: 分和秒计数器都是模M=60的计数器。计数规律为00,01,…,58,59,00,…。它们的个位都是十进制,而十位则是六进制。 译码显示: 将计数器输出的4位二进制代码,译码显示出相应的十进制数状态,可利用译码显示器和数码管实现。 校时电路: 校时可用1s脉冲快速校正,也可手动产生单次脉冲慢校正至时或者分计数器。可设置变量来控制实现校正或正常计数。 四、Multisim仿真与分析

1、设计方案与模块框图 2、各子模块电路设计及原理说明 (1)振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 一般来说,振荡器的频率越高,计时精度越高,但耗电量将增大。如果精度要求不高也可以采用由集成电路定时器555与RC组成的多谐振荡器。如图1所示。 图1 ②分频器 由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成

数字钟综合设计与制作

《数字系统与逻辑设计实验》实验报告题目数字钟电路设计与PCB图设计 学院:信息工程学院系电子信息工程 专业: 班级: 学号: 学生姓名: 指导教师:

递交日期:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合■设计□创新实验日期:2018/1/6 实验成绩:“数字钟电路设计与PCB图设计”实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计; 3、了解电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、3D实物图等。 三、实验原理及电路设计: 1、设计方案与模块框图 利用NE555构成自激多谐振荡器,输出一个频率为1024Hz的脉冲信号。因为数字钟需要的是1Hz的信号,所以需要进行分频处理。这里采用了1024分频,利用三片74LS161分别进行8分频、8分频和16分频,最终得到1Hz的脉冲信号。60秒为1分钟,所以需要一个60进制的计数器。这里还是使用74LS161,通过同步置数进行循环,秒计数每满60向分计数进1,然后自身清零。60分钟为1小时,所以分计数采用的方法和秒计数一样。当分计数和秒计数同时进位时,扬声器发声,即为整点报时。12小时制采用12进制计数器,24小时制采用24进制计数器,两种时制的切换可以通过单刀双掷开关完成。我们在秒进位和分进位处人为地产生一个上升沿,可以完成一次进位,达到校时的目的。时分秒的通过共阴极七段数码管来显示,数码管需要74LS48进行译码。

南理工EDA2多功能数字钟设计实验报告(蒋立平)——优秀

EDAⅡ实验报告 --多功能数字钟 学院专业: 学生学号: 指导老师:蒋立平 交稿时间:2012年3月25日

摘要 本实验借助于quartusⅡ软件设计一个多功能的数字时钟,具有24小时计时、星期显示、保持、清零、校分校时校星期、整点报时等基本功能,并在此基础上添加了闹钟、音乐闹钟、秒表等附加功能。同时,留有万年历的接口可以方便的进行扩展。.利用quartusⅡ进行相应的设计、仿真、调试,最后下载到SmartSOPC实验系统上验证设计的正确性。 关键词:多功能数字时钟,quartusⅡ,计时,星期显示,整点报时,闹钟,秒表 ABSTRACT This experiment is to design a multifunctional digital clock with quartus Ⅱ.The multifunctional digital clock has varities of the functions like 24-hour timer,week,keeping,clearing zero,adjusting time and chime on integral hour .It also include additional functions such as alarm clock,stopwatch and so on.At the sametimes,it can be added calendar.we designed and simulated with quartusⅡ.Finally downloaded it to the experiment platform to test. Key words:multifunctional digital clock,quartusⅡ,time,week,chime on integral hour, alarm clock,stopwatch

维修电工技师案例5.1_设计带有校时功能的数字闹钟

案例5.1 设计带有校时功能的数字闹钟 本案例通过一个带有校时功能的数字闹钟的设计过程的分析,对考生能否将已学过的知识运用到实际中去,是否初步了解设计的要求和步骤,是否熟悉集成电路的使用方法和各种芯片的功能等方面进行评价。 一、设计要求: 本案例要求设计一个数字钟,基本要求为: (1)有“时”、“分”的十进制数显示.“秒”信号驱动发光二极管.成为将“时”、“分”显示隔开的小数点。显示情况如图29-1所示。 (2)计时以1昼夜24h为1个周期。 (3)具有校时电路(即有预置数功能)。任何时候可对数字闹钟进行校准,将其拨至标准时间或其他需要的时间。 (4)计时过程中的任意“时”、“分”,均能按需要起闹,闹钟每次起闹时间为3~5s,并允许用户在此范围内调整。 本数字钟电路的设计主要是采用TTL集成电路实现组合逻辑与时序逻辑电路的设计,数字钟电路的基本工作原理是采用50Hz的220V交流市电作为标准时间源,经整形后产生的稳定的脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器计满24后,各计数器清零,重新计数。计数器的输出经译码器送显示器。 二、总体设计方案 根据对设计要求的分析,数字闹钟的总体结构应由以下各部分组成: (1)数字闹钟计时的标准信号应是频率相当稳定的IHz秒脉冲,所以要设置标准时间源。 (2)数字闹钟计时周期为24h,因此必须设置24h计数器,它应由模为60的秒计数器和分计数器及模为24的时计数器组成。秒显示由发光二极管的亮、暗示意,时和分由七段数码管显示。 (3)为使数字闹钟的走时与标准时间一致,校时电路是必不可少的,本例采用开关控制校时方法,直接用秒脉冲先后对“时”、“分”、“秒”计数器进行校时操作。 (4)为使数字闹钟能按用户需要,在特定时间起闹,应设置有控制作用的电路及确定何时起闹的时、分译码电路和选择开关,由用户自行决定起闹时、分。闹钟的时间每次为3~5s,通过调节电路元件参数来实现。 根据上述分析,数字闹钟的总体方案已经明确,可画出如图29-2所示的方案框图。

数字时钟设计实验报告

电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路与校时电路构成电路。 秒时钟信号发生器可由振荡器与分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时与分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器就是数字电子钟的核心部分,它的精度与稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一就是产生标准秒脉冲信号,一就是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数与进位功能。利用74LS161与74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0

西安交大数电数字钟实验报告

交通大学数字电子技术实验报告 数字钟设计 姓名:** 学院:**学院 班级:**22 学号:212******5

一、实验名称 基于Verilog HDL设计的多功能数字钟 二、试验任务及要求 实验要求以Verilog HDL语言为手段,设计多功能数字钟。多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的围为0~23时。 在实验中为了显示与编写方便,该设计采用一个位24位二进制码[23:0]cnt 记录时间,每四位记录一个数,从高到低分别为时针十位、时针个位、分针十位、分针个位、秒针十位、秒针个位。 实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟50Mhz进行分频。 关于调整时间功能,该设计采用四个按钮调整对应位的数值,从而调整时间。 三、实验条件 该实验以Verilog HDL语言为手段,以Xilinx ISE Design Suite 13.4_1软件实现源程序的综合与仿真,并用BASYS2开发板作为目标器件。 四、设计过程 1.列写多功能数字钟设计--层次结构图

2.拟定数字钟的组成框图,在Xilinx ISE Design Suite 1 3.4_1软件中,使用Verilog语言输入,采用分层次分模块的方法设计电路; 3.设计各单元电路并进行仿真; 4.对数字钟的整体逻辑电路图,选择器件,分配引脚,进行逻辑综合; 5.下载到Basys2实验平台上,实际测试数字钟的逻辑功能。 五、Verilog代码 module clock(input clk, input en, input key1, input key2, input key3, input key4, output sec, output wire[7:0] seg, output wire[3:0] digit ); wire[3:0] num0,mum1,num2,num3; disp u0(clk,num0,mum1,num2,num3,seg,digit); clk_gen u1(clk,en,key1,key2,key3,key4,sec,num0,mum1,num2,num3); endmodule

eda数字时钟实验报告

EDA数字时钟电工电子实习 实验报告 姓名 班级 学号20

一、实验目的: 1、掌握多位计数器相连的设计方法。 2、掌握十进制、六十进制和二十四进制计数器的设计方法。 3、巩固数码管的驱动原理及编程方法。 4、掌握CPLD技术的层次化设计方法。 二、实验要求: 基本要求:具有时、分、秒计数显示功能,以二十四小时循环计时。 扩展要求:具有整点报时功能。 三、实验原理: 计数时钟由模60秒计数器、模60分计数器、模24小时计数器、蜂鸣器(用于整点报时)、分/时设定模块、输出显示模块构成,秒计数模块的进位输出为分钟计数模块的进位输入,分钟计数模块的进位输出为小时计数模块的进位输入。 74163功能简介:

图1 图2 由图1可知,74163的脉冲上升沿的时候工作。 四、实验过程

1.模60计数器(如图3) 图3 由74163实现计数功能,第一片74163实现10进制,即做0-9的循环,9即二进制的1001,化简可得当q[0]与q[3]同时为1的时候进行清零。第二片74163实现6进制,即做0-5的循环,5即二进制的111,化简可得当q[4]与q[6]同时为1的时候进行清零,同时第一片74163的进位端作为第二片的脉冲端。这样就可实现60进制。60进制计数器用于秒计数器和分计数器,秒个位的进位端作为秒十位的脉冲端秒十位的进位端作为分个位的脉冲端,分个位的进位端作为分十位的脉冲端。 2.模24计数器(如图4) 图4 分十位的进位端作为时个位的脉冲端,时个位的进位端作为时十位的脉冲端。因为24进制的特殊性,当十位是0和1的时候,个位做十进制循环,即0-9,9的二进制为1001;当十位是2的时候,个位做0-3的循环。而十位做0-2的循环。2的二进制为0010,3的二进制为0011。所以第一片74163不仅要在q[14]与q[17]同时为1的时候清零,还要在第二片74163的q[19]、第一片的q[14]、q[15]同时为1(即23时)做清零。第二片是3进制,在q[19]=1的时候进行清零。

数电实验——多功能数字钟

大连理工大学本科实验报告题目:多功能数字钟 课程名称:《数字电路课程设计》 学院(系):电子信息与电气工程学部 专业:自动化 班级:电自0801 学生姓名: 学号:200881142 完成日期:2011年7月20日 成绩: 2011 年7 月20 日

题目:多功能数字钟 1 设计要求 (1)一个具有“时”,“分”,“秒”的十进制数字显示(小时从00~23) 计时器。 (2)具有手动校时,校分的功能。 (3)定时与闹钟功能,能在设定的时间发出闹铃声 (4)能整点报时。要求从59分54秒起报时,每隔2秒发出低音,,连续 3次,在整点要求是高音。 2 设计分析及系统方案设计 系统总体结果 系统设计要求说明: (1)该秒表用模24、模60计数器实现24小时计时 (2)在调节闹钟时不影响数字钟的正常走表; (3)在调节闹钟时要通过数码管显示出; 3系统以及模块硬件电路设计 根据上述给出的系统总体结构框图,给出系统硬件电路设计,并作必要的说明和理论计算。由于“数字电路课程设计”课程采用实验箱完成,没有学时涉及有关FPGA芯片的使用,因此有关FPGA芯片的部分可以用“FPGA最小系统”

模块框代替。其余接口部分(按键,LED以及数码管,各种接口等需要设计电路以及参数)。 下载时选择的开发系统模式以及管脚定义 4 系统的VHDL设计 系统的各个部分如何用VHDL设计出来的应该有说明,包括论述以及真值表或者状态图等。 要求:系统(或顶层文件)结构描述,各个模块(或子程序)的功能描述;1)用原理图实现的,需包含以下内容: (1)系统原理图

(2)主要模块或符号说明; 主要模块:模60计数器,模24计数器, 2)用VHDL语言实现的 (1) 秒计数器(模60计数器) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity m_601 is port(clk: in std_logic; clk_1: out std_logic; --clk_1表进位 qh,ql:out std_logic_vector(3 downto 0) –qh,ql表示十位与个位); end; architecture a of m_601 is signal qqh,qql: std_logic_vector(3 downto 0); signal tmp: std_logic; begin process(clk) begin if clk'event and clk='1' then if qql=9 then qql<="0000"; if qqh=5 then

相关文档
最新文档