5层次原理图及报表

5层次原理图及报表
5层次原理图及报表

讲义:

一、层次原理图

对于比较复杂的电路图,一张电路图纸无法完成设计,需要多张原理图。Protel 99 SE

提供了将复杂电路图分解为多张电路图的设计方法,这就是层次原理图设计方法。

5.1 层次原理图结构

层次式电路是将一个大的电路分成几个功能块,再对每个功能块里的电路进行细分,还可以再建立下一层模块,如此下去,形成树状结构。

层次式电路主要包括两大部分:主电路图和子电路图。其中主电路图与子电路图的关系是父电路与子电路的关系,在子电路图中仍可包含下一级子电路。

1.主电路图

主电路图文件的扩展名是.prj。

主电路图相当于整机电路图中的方框图,一个方块图相当于一个模块。图中的每一个模块都对应着一个具体的子电路图。与方框图不同的是,主电路图中的连接更具体。各方块图之间的每一个连接都要在主电路图中表示出来。

需要注意的是,与原理图相同,方块图之间的连接也要用具有电气性能的Wire(导线)和Bus(总线)。

2.子电路图

子电路图文件的扩展名是.sch。

一般地子电路图都是一些具体的电路原理图。子电路图与主电路图的连接是通过方块图中的端口实现的。

5.2 不同层次电路文件之间的切换

在编辑或查看层次原理图时,有时需要从主电路的某一方块图直接转到该方块图所对应的子电路图,或者反之。Protel 99 SE 为此提供了非常简便的切换功能。

5.2.1 利用项目导航树进行切换

主电路图下面扩展名为.sch 的文件就是子电路图,子电路图文件名前面的“+”表示该子电路图下面还有一级子电路,如Serial Interface.sch。单击导航树中的文件名或文件名前面的图标,可以很方便的打开相应的文件。

5.2.2 利用导航按钮或命令

1.从方块图查看子电路图

操作步骤:

①打开方块图电路文件。

②单击主工具栏上的图标,或执行菜单命令Tools|Up/Down Hierarchy,光标变成十字形。

③在准备查看的方块图上单击鼠标左键,则系统立即切换到该方块图对应的子电路图上。2.从子电路图查看方块图(主电路图)

操作步骤:

①打开子电路图文件。

②单击主工具栏上的图标,或执行菜单命令Tools|Up/Down Hierarchy,光标变成十字形。

③在子电路图的端口上单击鼠标左键,则系统立即切换到主电路图,该子电路图所对应的方块图位于编辑窗口中央,且鼠标左键单击过的端口处于聚焦状态。

5.3 自顶向下的层次原理图设计

自顶向下的层次原理图设计方法的思路是,先设计主电路图,再根据主电路图设计子电路图。这些主电路和子电路文件都要保存在一个专门的文件夹中。

5.3.1 设计主电路图

主电路图又称为项目文件。项目文件的扩展名是.prj。

操作步骤:

1.打开一个设计数据库文件

2.建立项目文件

①执行菜单命令File|New,系统弹出New Document 对话框。

②选择Document Fold(文件夹)图标,单击Ok 按钮。

③将该文件夹的名字改为Z80。

3.建立主电路图

①打开Z80 文件夹。

②执行菜单命令File|New,系统弹出New Document 对话框。

③选择Schematic Document 图标,单击Ok 按钮。

④将该文件的名字改为Z80.prj。

4.绘制方块电路图

①打开Z80.prj 文件。

②单击Wiring Tools 工具栏中的图标或执行菜单命令Place|Sheet Symbol,光标变成十字形,且十字光标上带着一个与前次绘制相同的方块图形状。

③设置方块图属性:按Tab 键,系统弹出Sheet Symbol 属性设置对话框。

双击已放置好的方块图,也可弹出Sheet Symbol 属性设置对话框。

Sheet Symbol 属性设置对话框中有关选项含义:

Filename:该方块图所代表的子电路图文件名。如Memory.sch

Name:该方块图所代表的模块名称。此模块名应与Filename 中的主文件名相对应。如Memory。

设置好后,单击Ok 按钮确认,此时光标仍为十字形。

④确定方块图的位置和大小:在适当的位置单击鼠标左键,确定方块图的左上角,移动光标当方块图的大小合适时在右下角单击鼠标左键,则放置好一个方块图。

⑤此时仍处于放置方块图状态,可重复以上步骤继续放置,也可单击鼠标右键,退出放置状态。

5.放置方块电路端口

①单击Wiring Tools 工具栏中的图标,或执行菜单命令Place|Add Sheet Entry,光标变成十字形。

②将十字光标移到方块图上单击鼠标左键,出现一个浮动的方块电路端口,此端口随光标的移动而移动。

注:此端口必须在方块图上放置。

③设置方块电路端口属性:按Tab 键系统弹出Sheet Entry 属性设置对话框,双击已放置好的端口也可弹出Sheet Entry 属性设置对话框。

Sheet Entry 属性设置对话框中有关选项含义:

Name:方块电路端口名称。如WR。

I / O Type:端口的电气类型。单击图6.8 中Input 旁的下拉按钮,出现端口电气类型列表。分为:Unspecified:不指定端口的电气类型。

Output:输出端口。

Input:输入端口。

Bidirectional:双向端口。

因为WR(写)信号是输入信号,所以选择Input。

Side:端口的停靠方向。

分为:Left:端口停靠在方块图的左边缘。

Right:端口停靠在方块图的右边缘。

Top:端口停靠在方块图的顶端。

Bottom:端口停靠在方块图的底端。

这里设置为Left。

Style:端口的外形。

分为:None:无方向。

Left:指向左方。

Right:指向右方。

Left & Right:双向。

设置完毕单击Ok 按钮确定。

④此时方块电路端口仍处于浮动状态,并随光标的移动而移动。在合适位置单击鼠标左键,则完成了一个方块电路端口的放置。

⑤系统仍处于放置方块电路端口的状态,重复以上步骤可放置方块电路的其他端口,单击鼠标右键,可退出放置状态。

6.连接各方块电路

在所有的方块电路及端口都放置好以后,用导线(wire)或总线(Bus)进行连接,具

体方法见前面章节,不再赘述。

7.编辑已放置好的方块电路图和方块电路端口

①移动方块电路

在方块电路上按住鼠标左键并拖动,可改变方块电路的位置。

②改变方块电路的大小

在方块电路上单击鼠标左键,则在方块电路四周出现控制点。用鼠标左键拖动其中的控制点可改变方块电路的大小。

③编辑方块电路的属性

用鼠标左键双击方块电路,在弹出的图6.6 所示的Sheet Symbol 属性设置对话框中进行修改。

④编辑方块电路名称(如Memory)

用鼠标左键双击方块电路名称Memory,在弹出的Sheet Symbol Name 对话框中进行修改。可以修改方块电路的名称、名称的显示方向、名称的显示颜色、名称的显示字体、字号等内容。

⑤编辑方块电路对应的子电路图文件名(如Memory.sch)

用鼠标左键双击Memory.sch,在弹出的Sheet Symbol File Name 对话框中进行修改。修改内容同上。

⑥修改方块电路上端口的停靠位置

在方块电路的端口上按住鼠标左键并拖动,可改变端口在方块电路上的位置。

⑦编辑方块电路端口的属性

用鼠标左键双击方块电路上已放置好的端口,在弹出的图6.8 Sheet Entry 属性设置对话框中进行修改。

5.3.2 设计子电路图

子电路图是根据主电路图中的方块电路,利用有关命令自动建立的,不能用建立新文件的方法建立。

操作步骤:

①在主电路图中执行菜单命令Design|Create Sheet From Symbol,光标变成十字形。

②将十字光标移到名为Memory 的方块电路上,单击鼠标左键,系统弹出Confirm 对话

框,要求用户确认端口的输入/输出方向。

如果选择Y es,则所产生的子电路图中的I / O 端口方向与主电路图方块电路中端口的方向相反,即输入变成输出,输出变成输入。如果选择No,则端口方向不反向。

这里我们选择No。

③按下No 按钮后,系统自动生成名为Memory.sch 的子电路图,且自动切换到Memory.sch子电路图。

从图中可以看出,子电路图中包含了Memory 方块电路中的所有端口,无需自己再单独放置I / O 端口。

④用第四章中介绍的方法,绘制Memory 模块的内部电路。

重复以上步骤,生成并绘制所有方块电路所对应的子电路图,即完成了一个完整的层次电路图的设计。

5.4 自底向上的层次原理图设计

自底向上的层次原理图的设计思路是:先绘制各子电路图,再产生对应的方块电路图。5.4.1 建立子电路图文件

操作步骤:

①利用5.3.1 中的方法建立一个文件夹,并改名为Z80。

②在Z80 文件夹下面,建立一个新的原理图文件。

③将系统默认的文件名Sheet1.sch 改为Memory.sch。

④利用第四章介绍的方法绘制子电路图,其中I / O 端口利用4.5.6 中介绍的方法进行放置。

重复以上步骤,建立所有的子电路图。

5.4.2 根据子电路图产生方块电路图

操作步骤:

①在Z80 文件夹下,新建一个原理图文件,并将文件名改为Z80.prj。

②打开Z80.prj 文件。

③执行菜单命令Design|Create Symbol From Sheet,系统弹出Choose Document to Place 对话框,如图6.12 所示。在对话框中列出了当前目录中所有原理图文件名。

④选择准备转换为方块电路的原理图文件名。如Memory.sch,单击Ok 按钮。

⑤系统弹出图6.10 所示的Confirm 对话框,确认端口的输入/输出方向。这里选择No。

⑥光标变成十字形且出现一个浮动的方块电路图形,随光标的移动而移动,如图中所示。

⑦在合适的位置单击鼠标左键,即放置好Memory.sch 所对应的方块电路。在该方块图中已包含Memory.sch 中所有的I / O 端口,无需自己再进行放置。

重复以上步骤,可放置所有子电路图对应的方块电路。

⑧利用前面介绍的编辑方法,对已放置好的方块电路进行编辑。

⑨用导线和总线等工具绘制连线,即完成了从子电路图产生方块电路的设计。

二、报表文件生成和原理图打印

为了满足生产和工艺上的要求,为了实现印刷电路板图的自动布局和自动布线,Protel 99 SE 提供了根据原理图产生各种报表的强大功能。其中包括Netlist(网络表)和Reports 菜单中所创建的各种报表,下面逐一进行介绍。

5.5 网络表的生成

在根据原理图产生的各种报表中,以网络表最为重要。

5.51 网络表的作用

网络表是表示电路原理图或印刷电路板元件连接关系的文本文件。它是原理图设计软件

Advanced Schematic 和印刷电路板设计软件PCB 的接口。

网络表文件的主文件名与电路图的主文件名相同,扩展名为.NET。

网络表的作用是:

(1)可用于印刷电路板的自动布局、自动布线和电路模拟程序。

(2)可以将检查两个电路原理图或电路原理图与印刷电路板图之间是否一致。

5.5.2 网络表的格式

网络表文件中的内容包括元件描述和网络连接描述两部分。

1.元件的描述

[ 元件声明开始

R1 元件标号

AXIAL0.3 元件封装形式

10K 元件标注

] 元件声明结束

所有元件都必须有声明。

2.网络连接描述

(网络定义开始

NetR1_1 网络名称

R1_1 此网络的第一个端点

R2_1 此网络的第二个端点

C1_2 此网络的第三个端点

) 网络定义结束

其中网络名称如VCC、GND 为用户定义,如果用户没有命名,则系统自动产生一个网络名称,如上面的NetR1_1。端点R1_1 表示与网络连接的端点是R1 的第一引脚。在网络描述中,列出该网络连接的所有端点。所有的网络都应被列出。

5.5.3 产生网络表

操作步骤:

①打开原理图文件。

②执行菜单命令Design|Create Netlist,系统弹出Netlist Creation 网络表设置对话框。Netlist Creation 网络表设置对话框中各选项含义:

Output Format:设置生成网络表的格式。有Protel、Protel 2、…等多种格式。这里我们选择Protel 格式。

Net Identifier Scope:设置项目电路图网络标识符的作用范围,本项设置只对层次原理图有效。有三种选择:

Net Labels and Ports Global:网络标号与端口在整个项目中都有效。即项目中不同电路图之间的同名网络标号是相互连接的、同名端口也是相互连接的。

Only Ports Global:只有端口在整个项目中有效。即项目中不同电路图之间同名端口是相互连接的。

Sheet Symbol / Port Connections:子电路图的端口与父电路图内相应方块电路图中同名端口是相互连接的。

Sheets to Netlist:设置生成网络表的电路图范围。有三种选择:

Active Sheet:只对当前打开的电路图文件产生网络表。

Active Project:对当前打开电路图所在的整个项目产生网络表。

Active Sheet Plus Sub Sheets:对当前打开的电路图及其子电路图产生网络表。对于单张原理图,选择第一项即可。

Append sheet numbers to local nets:生成网络表时,自动将原理图编号附加到网络名称上。

Descend into sheet parts:对电路图式元件的处理方法。

Include un-named single pin nets:确定对电路中没有命名的单个元件,是否将其转换

为网络。

③设置好后,单击Ok 按钮,系统自动产生网络表文件,如图7.2 所示。

5.6生成元件引脚列表

元件引脚列表是将处于选中状态元件的引脚进行列表。

操作步骤:

①选中要产生元件引脚列表的元件。可执行菜单命令Edit|Select,选中有关元件。

②执行菜单命令Reports|Selected Pins,系统弹出Selected Pins 对话框。

在对话框中列出了所选元件的所有元件引脚。C2-2[2]表示元件C2 的第二引脚,括号中的内容为所属网络名称。

③选中列表中的某一引脚,单击Ok 按钮,则该元件放大后,所选引脚显示在编辑窗口的中央。

注:如果原理图中没有选中的元件,执行“②”后,系统提示:No selected pins found。

5.7生成元件清单

元件清单主要用于整理一个电路或一个项目文件中所有的元件。元件清单中主要包括元件名称、元件标号、元件标注、元件封装形式等内容。利用元件清单可以有效地管理电路项目。元件清单文件的主文件名同原理图文件,不同格式的元件清单文件的扩展名不同,将在操作步骤中介绍。

操作步骤:

①打开一张电路原理图或一个项目中的所有文件。

②执行菜单命令Reports|Bill of Material,系统弹出BOM Wizard 向导窗口之一,进入生成元件清单向导,

BOM Wizard 向导窗口选项:

Project:产生整个项目的元件清单。

Sheet:产生当前打开的电路图的元件清单。对于单张原理图选择Sheet 即可。

选择完毕单击Next,,进入下一步。

③系统弹出BOM Wizard 向导窗口之二。

BOM Wizard 向导窗口之二的功能是设置元件清单中包含哪些元件信息。图中选中的内容分别为Footprint(封装形式)和Description(元件描述)。

选择完毕单击Next,,进入下一步。

④系统弹出BOM Wizard 向导窗口之三。

在此窗口中设置元件清单的栏目标题。图中的内容是默认设置。

Pert Type:元件标注。

Designator:元件标号。这两项在所有元件清单中都有。

Footprint:元件封装形式。

Description:元件描述。这两项是在前一窗口中选择的。

单击Next,,进入下一步。

⑤系统弹出BOM Wizard 向导窗口之四。

此窗口的功能是选择元件清单格式。共有三种格式:

Protel Format:生成Protel 格式的元件列表,文件扩展名为.BOM。

CSV Format:生成CSV 格式的元件列表,文件扩展名为.CSV。

Client Spreadsheet:生成电子表格格式的元件列表,文件扩展名为.XLS。

在本例中,我们选择Client Spreadsheet,而后单击Next,进入下一步。

⑥系统弹出BOM Wizard 向导窗口之五,如图7.8 所示。单击Finish 按钮,系统生成电子表格式的元件清单,并自动将其打开。

5.8生成交叉参考元件列表

交叉参考元件列表可以列出每个元件的标号、标注和元件所在的原理图文件名。交叉参考元件列表多用于层次原理图。

交叉参考元件列表文件的扩展名是.xrf。

操作步骤:

①打开需要生成交叉参考元件列表的项目文件或原理图文件。

②执行菜单命令Reports|Cross Reference,系统自动产生交叉参考元件列表文件。

5.9 生成层次项目组织列表

层次项目组织列表主要用于描述指定的项目文件中所包含的各原理图文件名和相互的层次关系。

层次项目组织列表文件的扩展名是.rep。

操作步骤:

①打开需要建立层次项目组织列表的项目文件。

②执行菜单命令Reports|Design Hierarchy,系统自动产生层次项目组织列表文件。

5.10 产生网络比较表

网络比较表可以比较用户指定的两份网络表,并将二者的差别列成文件。网络比较表在实际的设计中非常有用。如当印刷电路板图绘制完成后,可以将电路原理图和印刷电路板分别产生的两个网络表文件进行比较,以此来检查电路原理图和印刷电路板图在连线上的不同之处,从而提高了检查效率,并为设计者提供参考。又如当设计者更新电路图时,利用该功能可以将更新后的修改部分保存下来,以方便设计工作的进行。

网络比较表文件的扩展名是.rep。

操作步骤:

①打开原理图文件。

②执行菜单命令Reports|Netlist Compate,系统弹出Select 对话框,如图7.10 所示。

用户可在对话框中选择一个网络表文件,或单击Add 按钮,从其他位置选择一个设计

数据库文件,加入到该对话框中,再从中选择有关的网络表文件。选择完毕,单击Ok 按钮。

③此时系统会再次弹出的对话框,重复“(2)”中的步骤,选择第二个网络表文件,选择完毕,单击Ok 按钮。

系统对两个网络表文件进行比较,然后自动进入文本编辑器,并产生比较后的报表文件。

5.11 原理图打印

对于绘制好的电路原理图,往往需要打印出来。Protel 99 SE 支持多种打印机,可以说Windows 支持的打印机Protel 99 SE 系统都支持。

操作步骤:

①打开一个原理图文件。

②执行菜单命令File|Setup Printer,系统弹出Schematic Printer Setup 对话框。Schematic Printer Setup 对话框中各选项含义:

Select Printer:选择打印机。

Batch Type:选择准备打印的电路图文件。

有两个选项:

Current Document:打印当前原理图文件。

All Documents:打印当前原理图文件所属项目的所有原理图文件。

Color Mode:打印颜色设置。

有两个选项:

Color:彩色打印输出。

Monochrome:单色打印输出。即按照色彩的明暗度将原来的色彩分成黑白两种颜色。

Margin:设置页边空白宽度,单位是Inch(英寸)。共有四种页边空白宽度。Left(左),Right(右),Top(上),Bottom(下)。

Scale:设置打印比例,范围是0.001%~400%。尽管打印比例范围很大,但不要将打印比例设置过大,以免原理图被分割打印。

Scale 旁边的Scale to fit Scale 复选框的功能是“自动充满页面”。若选中此项,则无论

原理图的图纸种类是什么,系统都会计算出精确的比例,使原理图的输出自动充满整个页面。

需要指出,若选中Scale to fit Scale,则打印比例设置将不起作用。

Preview:打印预览。若改变了打印设置,单击Refresh 按钮,可更新预览结果。

Properties 按钮:单击此按钮,系统弹出打印设置对话框。

在打印设置对话框中,用户可选择打印机,设置打印纸张的大小、来源、方向等。单击“属性”按钮可对打印机的其他属性进行设置。

③打印:单击图7.11 中Print 按钮,或单击图7.11 中Ok 按钮后执行菜单命令File|Print。

5.12粘贴到doc文档中

设置

本节小结

本节主要介绍了层次原理图的概念及其设计方法。这一章的内容主要针对比较复杂的电路原理图。在学习这一章时,读者应注意主电路图和子电路图是一一对应的,主电路图中的端口与子电路图中的端口也是一一对应的。设计正确的层次原理图可以使用本章介绍的浏览方法在主电路图与子电路图之间切换。层次原理图的设计方法主要有两种,自顶向下和自底向上,读者可以根据需要进行练习。

在本节中,主要介绍了根据原理图生成各种报表的操作方法和打印原理图的方法。在设计印刷电路板图之前,必须要产生网络表。在设计了电路原理图之后,用户可以根据生产和工艺的需要生成所需的报表。

练习

1.主电路图文件的扩展名是什么?这个文件又称为什么文件?

2.在自顶向下的设计方法中子电路图是如何建立的?

3.在自底向上的设计方法中主电路图是如何建立的?

4.找一个稍微复杂一点的电路图,试着将它改造成层次原理图的形式。

5.根据4.5 中的练习电路产生网络表和元件清单。

6.打印4.5 中的练习电路图和元件清单。(打印前将练习电路中的元件标号和元件标注的字号设置的大一些)

7.在学习了印刷电路板的设计之后,根据某一原理图及其对应的印刷电路板图的网络表,产生网络比较表,看看两者有无差别,如果有是否是实质性差别,怎样改正?

硬件电路设计过程经验分享 (1)

献给那些刚开始或即将开始设计硬件电路的人。时光飞逝,离俺最初画第一块电路已有3年。刚刚开始接触电路板的时候,与你一样,俺充满了疑惑同时又带着些兴奋。在网上许多关于硬件电路的经验、知识让人目不暇接。像信号完整性,EMI,PS设计准会把你搞晕。别急,一切要慢慢来。 1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。

pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept&allegro是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有1)原理图设计。2)pcb设计。3)制作BOM 表。现在简要谈一下设计流程(步骤): 1)原理图库建立。要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚ic body,ic pins,input pin,output pin,analog pin,digital pin,power pin等区别。 2)有了充足的库之后,就可以在原理图上画图了,按照datasheet和系统设计的要

硬件-原理图布线图-设计审核表

硬件设计检查列表——Check List 产品名称开发代号 PCB P/N PCB 版本 PCBA P/N PCBA 版本 产品功能简述: 原理图设计部分(参考《电路原理图设计规范》) 1.电路图图幅选择是否合理。(单页,多页)是?否?免? 2.电路图标题栏、文件名是否规范。是?否?免? 3.元件大小、编号、封装是否有规律,是否符合要求。是?否?免? 4.元器件标注(名称,标称值,单位,型号,精度等)是否符合要求是?否?免? 5.元器件摆放和布局是否合理、清晰。是?否?免? 6.器件间连线是否正确,规范。是?否?免? 7.电气连线交叉点放置是否合理。是?否?免? 8.重要的电气节点是否明确标示。是?否?免? 9.重要网络号是否标准清晰。是?否?免? 10.是否对特殊部分添加注释。是?否?免? 11.零件选型是否符合要求(零件封装,可购买性,电压电流是否满足等)。是?否?免? 12.是否设计测试点,Jump点。是?否?免? 13.是否符合ESD保护设计要求。是?否?免? 14.是否符合EMI/EMC设计要求。是?否?免? 15.是否有过流、过压保护设计。是?否?免? 16.元器件选项是否能满足功能设计的功耗,电压,电流的要求。是?否?免? 17.时钟晶振电容是否匹配,晶振选项是否正确(有源、无源)。是?否?免? 18.I/O口开关量输入输出是否需要隔离。是?否?免? 19.上拉、下拉电阻设计是否合理。是?否?免? 20.是否进行过DRC检查。是?否?免? 21.是否存在方框图。是?否?免? 22.是否标注模块名称。是?否?免? 23.原理图层级结构是否合理、清晰。是?否?免? 24.标注部分字体、大小是否合理。是?否?免? 25.零件选型的可采购性。是?否?免? 26.零件选型的可生产性。是?否?免?Designed by:Checked by:Approved by:

单片机实验板详细步骤--原理图设计部分

单片机实验板 单片机是电子工程师的基本技能之一,单片机实验板是学习单片机的必备工具之一。通过层次原理图的设计方法,以单片机实验板设计实例介绍Protel DXP的原理图到PCB设计的整个过程。 一、一款单片机实验板简介 经典单片机实验板 单片系统包括MCU组成的最小系统、各种功能的外围电路及接口。 1、89C52单片机。 2、6位数码管(做动态扫描及静态显示实验)。 3、8位LED发光二极管(做流水灯实验)。 4、MAX232芯片RS232通讯接口(可以做为与计算机通迅的接口同时也可做为单片机下载程序的接口)。 5、USB供电系统,直接插接到电脑USB口即可提供电源,不需另接直流电源。 6、蜂鸣器(做单片机发声实验)。 7、ADC0804芯片(做模数转换实验)。 8、DAC0832芯片(做数模转换实验)

9、PDIUSBD12芯片(USB设备开发,如单片机读写U盘,自制U盘,自制MP3等,还可通过此芯片让计算机与单片机传输数据)。 10、USB转串口模块,直接由计算机USB口下载程序至单片机。 11、DS18B20温度传感器,(初步掌握单片机操作后即可亲自编写程序获知当时的温度)。 12、AT24C02外部EEPROM芯片(IIC总线元件实验) 13、字符液晶1602接口。(可显示两行字符) 14、图形液晶12864接口(可显示任意汉字及图形) 15、4*4矩阵键盘另加四个独立键盘(键盘检测试验)。 二、设计任务 采用自底向上(Bottom up)的层次原理图方法绘制单片机实验板原理图及PCB。本实验板主要有CPU部分、电源部分(Power)、串口通信(RS232)部分、数码显示(LED)部分、继电器(Relay)部分、其它(misc)各部分。 同时,通过层次原理图的绘制掌握原理图绘制的众多技巧。 单片机原理图总图 三、子图绘制 下面开始各原理子图的绘制。如【单片机实验板工程】所示,建立单片机实验板工程,建立各个原理图,并把库文件加载到工程里。

1.层次原理图设计

层次原理图设计 一实验目的 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二实验内容 绘制洗衣机控制电路层次原理图,包括“复位晶振模块”,“CPU模块”,“显示模块”和“控制模块”。 三实验步骤 注意:在每个原理图上都设计一个模板,内容包括:标题、姓名、学号、专业年级,日期等内容。 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示 图1 模块电路属性

图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口 5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。

经验分享:硬件电路怎么设计

经验分享:硬件电路怎么设 计

1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb ,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信

号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept & allegro 是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有: 1)原理图设计。 2)pcb设计。 3)制作BOM表。 现在简要谈一下设计流程(步骤): 1)原理图库建立。 要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚 ic body,ic pins,input pin,output pin, analog pin, digital

绘制层次电路原理图讲解

《电路CAD 》课程实验报告 实验名称绘制层次电路原理图实验序号实验二姓名张伟杰系专业电科班级一班学号201342203 实验日期5月5日指导教师曹艳艳组名第一组成绩 一、实验目的和要求 1 掌握层次原理图的绘制方法。 2 理解层次原理图模块化的设计方法。 二、实验设备 计算机、Altium Designer 10 三、实验过程(步骤、程序等) 1 新建工程项目文件 1)单击菜单File/New/PCB Project,新建工程项目文件。 2)单击菜单File/Save Project保存工程文件,并命名为“洗衣机控制电路.PrjPCB”。 2 绘制上层原理图 1)“在洗衣机控制电路.PrjPCB”工程文件中,单击菜单File/New/Schematic,新建原理图文件。 2)单击菜单File/Save As..,将新建的原理图文件保存为“洗衣机控制电路.SchDoc” 3) 单击菜单Place/Sheet Symbol或单击“Wring”工具栏中的按钮,如图1所示,依次放置复位晶振模块,CPU模块,显示模块,控制模块四个模块电路,并修改其属性,放置后如图2所示

图1 模块电路属性 图2 放置四个模块电路 4)单击菜单P1ace/Add sheet Entry或单击“Wring”工具栏的按钮,放置模块电路端口,并修改其属性,完成后效果如图3所示 图3 放置模块电路端口

5)连线。根据各方块电路电气连接关系,用导线将端口连接起来,如图4所示 图4 连线 3 创建并绘制下层原理图 1)在上层原理图中,单击菜单Design/Create Sheet From Symbol,此时鼠标变为十字形。 2)将十字光标移到“复位晶振模块”电路上,单击鼠标左键,系统自动创建下层原理图“复位晶振模块.SchDoc”及相对应的I/O端口。如图5所示。 图5 自动生成的I/0端口 4)绘制“复位晶振模块”电路原理图。 其用到的元件如下表1所示。绘制完成后的效果如图6所示。 表1 “复位晶振模块”电路元件列表 元件标号元件名所在元件库元件标示值元件封装R1 RES2 Miscellaneous Devices.IntLib 270ΩAXIAL0.4 R2 RES2 Miscellaneous Devices.IntLib 1k AXIAL0.4 C1 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 C2 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 C3 Cap Miscellaneous Devices.IntLib 33pF RAD-0.3 S1 SW-PB Miscellaneous Devices.IntLib SPST-2 Y1 XTAL Miscellaneous Devices.IntLib R38 VCC 电源工具栏 GND 电源工具栏

硬件电路原理图设计审核思路和方法

硬件电路原理图设计审核思路和方法 1、详细理解设计需求,从需求中整理出电路功能模块和性能指标要 求; 2、根据功能和性能需求制定总体设计方案,对CPU进行选型,CPU 选型有以下几点要求: a)性价比高; b)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; c)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功 参考设计,一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,比如440EP就有yosemite开发板和 bamboo开发板,我们参考得是yosemite开发板,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU 都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计;

4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守 以下原则: a)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷偏芯片,减少风险; b)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; c)采购方便原则:尽量选择容易买到,供货周期短的元器件; d)持续发展原则:尽量选择在可预见的时间内不会停产的元器件;e)可替代原则:尽量选择pin to pin兼容种类比较多的元器件;f)向上兼容原则:尽量选择以前老产品用过的元器件; g)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每 个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;这是整个原理图设计过程中最关键的部分,我们必须做到以下几点: a)对于每个功能模块要尽量找到更多的成功参考设计,越难的应该越多,成功参考设计是“前人”的经验和财富,我们理当借鉴吸收,站在“前人”的肩膀上,也就提高了自己的起点;

实验一 原理图输入方式设计数字逻辑电路

实验一原理图输入方式设计数字逻辑电路 一、实验目的: 1、了解基本组合逻辑电路的原理及利用Quartus II 软件进行设计的一般方法。 2、熟悉Quartus II 原理图输入法的设计流程,掌握编辑、编译和仿真的方法。 3、掌握原理图的层次化设计方法。 4、了解Quartus II 软件的编程下载及引脚锁定的方法。 5、了解Quartus II宏功能模块的使用方法。 二、实验的硬件要求: 1、EDA/SOPC实验箱。 2、计算机。 三、实验原理 见附件《Quartus设计的一般步骤》、《元件例化和调用的操作步骤》、《QuartusII基于宏功能模块的设计》 四、实验内容: 1、用原理图方式设计1位二进制半加器半加器。 新建一个工程“HalfAdder”,选择芯片“Cyclone III EP3C16Q240C8”,建立原理图如图1-1,保存为“HalfAdder.BDF”。 图1-1 半加器电路图 编译工程。 建立波形文件,对半加器电路分别进行时序仿真和功能仿真,其波形如下: 图1-2半加器时序仿真波形,注意观察输出延时,以及毛刺的产生原因 图1-3半加器功能仿真波形 2、原理图层次化设计。 新建一工程,取名“FullAdder”;将上面设计的半加器“HalfAdder.BDF”复制到当前工程目录,并生成“符号元件”HalfAdder.BSF。 建立一个原理图文件,取名“FullAdder.BDF”,利用“符号元件”HalfAdder.BSF及其它元件设计全加器电路如下图:

用功能仿真测试全加器的逻辑功能。 图1-5 全加器功能仿真波形 图1-6是输入输出信号与FPGA连接示意图,图中用到了“拨档开关”作为输入,“LED 显示模块”显示输出值。表1-1是本实验连接的FPGA管脚编号。

硬件电路板设计规范

硬件电路板设计规范(总36 页) -CAL-FENGHAI.-(YICAI)-Company One1 -CAL-本页仅作为文档封面,使用请直接删除

0目录 0目录............................................... 错误!未定义书签。

1概述............................................... 错误!未定义书签。 适用范围............................................ 错误!未定义书签。 参考标准或资料 ...................................... 错误!未定义书签。 目的................................................ 错误!未定义书签。2PCB设计任务的受理和计划............................ 错误!未定义书签。 PCB设计任务的受理................................... 错误!未定义书签。 理解设计要求并制定设计计划 .......................... 错误!未定义书签。3规范内容........................................... 错误!未定义书签。 基本术语定义........................................ 错误!未定义书签。 PCB板材要求: ....................................... 错误!未定义书签。 元件库制作要求 ...................................... 错误!未定义书签。 原理图元件库管理规范:......................... 错误!未定义书签。 PCB封装库管理规范............................. 错误!未定义书签。 原理图绘制规范 ...................................... 错误!未定义书签。 PCB设计前的准备..................................... 错误!未定义书签。 创建网络表..................................... 错误!未定义书签。 创建PCB板..................................... 错误!未定义书签。 布局规范............................................ 错误!未定义书签。 布局操作的基本原则............................. 错误!未定义书签。 热设计要求..................................... 错误!未定义书签。 基本布局具体要求............................... 错误!未定义书签。 布线要求............................................ 错误!未定义书签。 布线基本要求................................... 错误!未定义书签。 安规要求....................................... 错误!未定义书签。 丝印要求............................................ 错误!未定义书签。 可测试性要求........................................ 错误!未定义书签。 PCB成板要求......................................... 错误!未定义书签。

实验七multisim数字电路原理图设计

电子线路设计软件课程设计报告实验内容:实验七multisim数字电路原理图设计 一、实验目的 1、认识并了解multisim的元器件库; 2、学会使用multisim绘制电路原理图; 3、学会使用multisim里面的各种仪器分析数字电路; 二、Multisim10 的菜单栏 1.File菜单 该菜单主要用于管理所创建的电路文件,对电路文件进行打开、保存等操作,其中大多数命令和一般Windows应用软件基本相同,这里不赘述。下面主要介绍Multisim 10.0的特有命令: ●Open Samples:可打开安装路径下的自带实例; ●New Project,Open Project,Save Project和Close Project:分别对一个工程文 件进行创建、打开、保存和关闭操作。一个完整的工程包括原理图、PCB文件、仿真文件、工程文件和报告文件; ●Version Control:用于控制工程的版本。用户可以用系统默认产生的文件名或 自定义文件名作为备份文件的名称对当前工程进行备份,也可恢复以前版本的 工程; ●Print Options:包括两个子菜单,Print Circuit Setup子菜单为打印电路设置选项; Print Instruments子菜单为打印当前工作区内仪表波形图选项。 2.Edit菜单 “编辑”菜单下的命令主要用于在绘制电路图的过程中,对电路和元件进行各种编辑操作。一些常用操作,例如,复制,粘贴等和一般Windows应用程序基本相同,这里不再赘述。下面介绍一些Multisim10.0特有的命令。 ●Delete Multi-Page:从多页电路文件中删除指定页。执行该项操作一定要小心, 尽管使用撤销命令可恢复一次删除操作,但删除的信息无法找回; ●Paste as Subcricuit:将剪贴板中已选的内容粘贴成电子电路形式; ●Find:搜索当前工作区内的元件,选择该项后可弹出对话框,其中包括要寻找 元件的名称、类型及寻找的范围等; ●Graphic Annotation:图形注释选项,包括填充颜色、类型、画笔颜色、类型和 箭头类型; ●Order:安排已选图形的放置层次; ●Assign to Layer:将已选的项目(例如,REC错误标志、静态指针、注释和文本 /图形)安排到注释层; ●Layer Setting:设置可显示的对话框; ●Orientation:设置元件的旋转角度; ●Title Black Position:设置已有的标题框的位置; ●Edit Symbol/Title Block:对已选定的图形符号或工作区内的标题框进行编辑。 在工作区内选择一个元件,选择该命令,编辑元件符号,弹出的“元件编辑” 窗口,在这个窗口中可对元件各引脚端的线型、线长等参数进行编辑,还可以 自行添加文字和线条等;选择工作区内的标题框,选择该命令,弹出“标题框 编辑”窗口,可对选中的文字、边框或位图等进行编辑; ●Font:对已选项目的字体进行编辑; ●Comment:对已有的注释项进行编辑; ●Forms/Questions:对有关电路的记录或问题进行编辑;当一个设计任务由多个

FPGA实验教程_原理图设计部分

实验注意事项 1.做实验前,先连接好下载线,然后才能接上电源。 2.做完实验后,先拨掉实验箱上的电源,然后才能拨下载线。 实验一:简单逻辑门 实验目的:掌握Quartus使用及基于原理框图进行FPGA开发的基本流程 实验要求:掌握Quartus使用及基于原理框图进行FPGA开发的基本流程,注意设备及人身安全,严禁带电插拔JTAG下载线,防止损坏设备 所需器材:FPGA教学实验系统,带并口的普通计算机 实验介绍:本实验是在FPGA教学实验系统上实现简单的逻辑门,例如2输入的与门、与非门、或门、异或门等,对应部分的电路原理图如图1所 示。当K0(K1)按键断开时,FPGA引脚175(173)的输入为低电 平,对应发光二极管D2(D3)熄灭,当K0(K1)按键按下时,FPGA 引脚175(173)的输入为高电平,对应发光二极管D2(D3)发光。 FPGA引脚175、173在本实验中可用作输入引脚。FPGA引脚64、 65、66、73分别接有发光二极管(LED)DR0~DR3,在实验中可用 作输出引脚,当引脚输出高电平时,对应的LED被驱动发光。这些 输出引脚可用于实现2个输入的不同逻辑功能。 图1 部分按键与LED的原理图 实验步骤: 1. 为工程建立工作目录 为了方便工程涉及到的文件的管理,以后的每一个工程,都需要为其建立专门的工作目录,目录路径中不要包含有非英文或数字的字符(例如不要包含空格或中文字符等)。请利用系统自带的“我的电脑”或“资源管理器”建立目录。在此假设在E:\work目录下建立名为mylogic_sch的工程工作目录,其目录路径为E:\work\mylogic_sch,本实验所涉及的文件都需要放置在该目录当中。 2. 运行Quartus II程序 方法1(通过开始菜单):

硬件电子琴电路设计

江西理工大学应用科学学院

目录 一、设计任务与要求 (1) 二、总体框图 (2) 三、选择器件 (5) 四、功能模块 (6) 1.Songer模块 (6) 1.1NoteTabs模块 (6) 1.2ToneTaba模块 (11) 1.3Speakera模块 (13) 2.div模块 (16) 3.七段译码器模块 (18) 五、总体设计电路图 (21) 1.顶层设计的电路原理图 (21) 2.顶层设计的仿真结果 (23) 3.电路的管脚图 (23) 六、结束语 (24) 七、心得体会 (25)

硬件电子琴电路设计 一、设计任务与要求 使用FPGA设计一模拟电子琴键,实现电子琴按键的DO,Re,Mi,Fa,Sol,La,Si等中音以及相应的高音。 二、总体框图 系统设计方案: 方案一: 采用单个的逻辑器件组合实现。这样虽然比较直观,逻辑器件分工鲜明,思路也比清晰,一目了然。但是由于元器件种类、个数繁多,而过于复杂的硬件电路也容易引起系统的精度不高、体积过大等不利因素。例如八个不同的音符是由八个不同的频率来控制发出的,而采用方案一就需要运用不同的分频器来对信号进行不同程度的分频。所用仪器之多显而易见。 方案二: 采用VHDL语言编程来实现电子琴的各项功能。系统主要由电子琴发声模块、选择控制模块和储存器模块组成。和 方案一相比较,方案二就显得比较笼统,只是把整个系统分 为了若干个模块,而不牵涉到具体的硬件电路。但是我们必 须看到用超高速硬件描述语言VHDL的优势,它不仅具有良 好的电路行为描述和系统描述的能力而且通俗易懂。经过对

以上两种方案的分析、比较和总结,我们选用方案二来进行八音符电子琴的设计。 (2).ToneTaba模块:是乐曲简谱码对应的分频预置数查找表电路,其中设置了乐曲的全部音符所对应的分频置数,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs 的CLK的输入频率决定,这些值由对应于ToneTaba的4

protel实验报告

实验报告 一、实验目的 1.了解protel软件基本功能及实际操作方法; 2.掌握电路原理图设计和PCB图绘制基础和技能操作; 3.掌握PCB布线和布局的技巧以及注意问题; 4.原理图元件符号和PCB元件封装编辑技能; 5.培养实际电路图绘制和动手操作综合能力; 6.自己能够绘制电路原理图并可以对PCB进行合理布局 二、实验内容 1.protel 99 SE简介 Protel 99 SE软件是PROTEL99SE汉化版,99SE是PROTEL 家族中目前最稳定的版本,功能强大。采用了*.DDB数据库格式保存文件,所有同一工程相关的SCH、PCB等文件都可以在同一*.DDB数据库中并存,非常科学,利于集体开发和文件的有效管理。还有一个优点就是自动布线引擎很强大。在双面板的前提下,可以在很短的时间内自动布通任何的超复杂线路! 主要教我们: 1.画画简单的原理图(SCH) 2.学会创建SCH零件

3.把原理图转换成电路板(PCB) 4.对PCB进行自动布线 5.学会创建PCB零件库 6.学会一些常用的PCB高级技巧。 主要的模块: 1.电路原理图设计模块:该模块主要包括设计原理图的原理图编辑器,用于修改、生成元件符号的元件库编辑器以及各种报表的生成器。 2.印制电路板设计模块:该模块主要包括设计电路板图的PCB编辑器,用于PCB自动布线的Route模块。用于修改、生成元件封装的原件封装编辑器以及各种报表的生成器。 3.可编程逻辑器件设计模块:该模块主要包括具有语法意识的文本编辑器、由于编译和仿真设计结果的PLD模块。 4.电路仿真模块:该模块主要包括一个具有强大的数/模混合信号电路仿真器,能提供连续的模拟信号和离散的数字信号仿真。 2.电路图设计基础和操作步骤 2.1印制电路板设计的流程方框图: 电路原理图设计产生网络表印制电路板设计;

电路原理第五版邱关源教案3Word版

电气与信息工程系教案

第 3 次课授课时间 2017.9.4 (教案续页)

Z — 复阻抗;|Z| —复阻抗的模;z —阻抗角; R —电阻(阻抗的实部);X —电抗(阻抗的虚部)。 转换关系: 阻抗三角形 3.导纳 对同一二端网络: 当无源网络内为单个元件时有: 4. RLC 并联电路 由KCL : z Z X j R C 1j L j R I U Z ?∠=+=ω-ω+== R X arctan φ X R |Z | z 2 2?????=+=S φ|Y |U I Y y ∠== 定义导纳Z 1 Y , Y 1Z == G R 1U I Y === L B j L j 1U I Y =ω== C B j C j U I Y =ω==

Y —复导纳;|Y| —复导纳的模; y —导纳角; G —电导(导纳的实部);B —电纳(导纳的虚部) 转换关系: 导纳三角形 例题: 对RL 串联电路作如下两次测量:(1)端口加90V 直流电压()时,输入电流为3A ;(2)端口加 的正弦电压90V 时,输入电流为1.8A 。求R 和L 的值。 C L R I I I I ++= U C j U L 1j U G ω+ω-= U )C j L 1j G ( ω+ω-= U )B B j(G [C L ++= U )B j G ( +=y Y B j G L 1 j C j G U I Y ?∠=+=ω-ω+== G B arctan φ B G |Y | y 2 2?????=+=0=ωHz f 50=

题解8-13图 解:由题意画电路如题解8-13图所示。 (1)当为90V 直流电压时,电感L 看作短路,则电阻 (2)当 为90V 交流电压时,设电流,根据相量法,有 故 根据,解得 例题:已知图示电路。求和。 解:设 为参考相量。与同相位,超前 s u Ω ===30390i u R s s u A I I 08.10∠=∠=8 .18.130?+?=+=L L S jX I jX I R U 2 2 308.190L S X U +?==Ω =-=4030)8.190 (22L X L X L ω=H f X X L L L 127.010040 2=== = ππωA I I 1021==I S U S U 1I S U 2I

硬件电路原理图设计经验

硬件电路原理图设计经验(研发心得) 设计电路常用的EDA(Electronic Design Automatic,电路设计自动化)软件包括电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,现主要的原理图和PCB图设计软件有Altium(原protel),OrCAD,PADS,PowerPCB等软件。不管使用那个软件。只要能画出好的电路就行了。一般掌握一两个软件就够用了。 做好电路板第一步是前期准备。包括元件库和原理图。要设计好原理图。需要了解设计原理图要实现那些功能及目的。要详细了解电路使用的所有元件特性,在电路中所起的作用。 根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: a)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷偏芯片,减少风险; b)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; c)采购方便原则:尽量选择容易买到,供货周期短的元器件; d)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; e)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; f)向上兼容原则:尽量选择以前老产品用过的元器件; g)资源节约原则:尽量用上元器件的全部功能和管脚; 绘制原理图时,一般规则和要如下: a) 按统一的要求选择图纸幅面、图框格式、电路图中的图形符号、文字符号。 b)应根据该产品的电工作原理,各元器件自右到左,自上而下的排成一列或数列。 c)图面安排时,电源部分一般安排在左下方,输入端在右方,输出在左方。 d) 图中可动元件(如继电器)的工作状态,原则上处于开断,不加电的工作位置。 e) 将所有芯片的电源和地引脚全部利用。 信号完整性及电磁兼容性考虑 a) 对输入输出的信号要加相应的滤波/吸收器件;必要时加硅瞬变电压吸收二极管或压敏电阻SVC b) 在高频信号输出端串电阻。 c) 高频区的退耦电容要选低ESR的电解电容或钽电容 d) 退耦电容容值确定时在满足纹波要求的条件下选择更小容值的电容,以提高其谐振频率点 e) 各芯片的电源都要加退耦电容,同一芯片中各模块的电源要分别加退耦电容;如为高频则须在靠电源端加磁珠/电感。 硬件原理图设计还应该遵守一些基本原则,这些基本原则要贯彻到整个设计过程,虽然成功的参考设计中也体现了这些原则,但因为我们可能是“拼”出来的原理图,所以我们还是要随时根据这些原则来设计审查我们的原理图,这些原则包括: 一数字电源和模拟电源分割; a) 数字地和模拟地分割,单点接地,数字地可以直接接机壳地(大地),机壳必须接大地;

电路原理图与电路板设计实验报告

电路原理图与电路板设计实验报告 学院: 班级: 专业: 姓名: 学号: 指导老师: 河南工业大学实验报告专业班级姓名 学号 同组者姓名完成日期 成绩评定 实验题目:(一)原理图设计环境画原理图实验 实验目的:

1.熟练PROTEL99se的原理图编辑环境。 2.掌握常用管理器,菜单的使用,电气规则检查。 3.掌握元器件的调用,属性含义。 实验内容: 教材: 1.1,1.2,1.3,1.4环境熟悉 2.1,2.2工具条对象,器件调用 2.3,2.4菜单使用,元件属性修改 4.2练习1---练习8 实验仪器:PROTEL99se软件 实验步骤: (1)放置元件:就是在元件库中找元件,然后用元件 管理器的Place按钮将元件放在原理图中。 放置元件时需要使用如下所示快捷键: 空格键:每单击一次空格键使元件逆时针旋转90度。 TAB键:当元件浮动时,单击TAB键就可以显示属性编辑窗口。

X键:元件水平镜像。 Y键:元件垂直镜像。 (2)连接导线。使用划线工具连接导线。 (3)放置电源,地线和网络标记。放置电源和地线标记前要显示电源地线工具箱。 (4)自动元件编号:使用菜单Tool/Annotate对元件自动编号。 (5)编辑元件属性。单击元件,在弹出的属性窗口中输入元件的属性,注意一定要输入元件封装。(6)电气规则检查。使用Tool/ERC菜单,对画好的原理图进行电气规则检查,检查完毕后,出现报 表信息,就可以进行下一步。 (7)原件图元件列表。使用Edit/Export to Spread菜单,按照向导提示进行操作。 (8)建立网络表。使用菜单Design/Netlist。 实验截图: 注意事项: 连线:从器件的端点开始到端点结束,不要多余的线,

硬件电路设计规范

硬件电路板设计规范 制定此《规范》的目的和出发点是为了培养硬件开发人员严谨、务实的工作作风和严肃、认真的工作态度,增强硬件开发人员的责任感和使命感,提高工作效率和开发成功率,保证产品质量。 1、深入理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU等主芯片进行选型,CPU 选型有以下几点要求: 1)容易采购,性价比高; 2)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; 3)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功参考设计。 一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进

行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计; 4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: 1)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷、偏芯片,减少风险; 2)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; 3)采购方便原则:尽量选择容易买到,供货周期短的元器件; 4)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; 5)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; 6)向上兼容原则:尽量选择以前老产品用过的元器件; 7)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;当然,如果所采用的成功参考设计已经是

层次原理图实验报告

实验报告 数字学院(院、系)数码嵌入专业3-4班Protel99se 电路设计课 学号姓名:实验日期:2012.10.3 教师评定 一、实验名称:层次原理图设计 二、实验目的: 1.掌握层次原理图的建立方法 2.掌握由方块电路符号产生新原理图的方法 3.掌握电气规则检查和网络报表的生成 三、实验内容: 层次化原理图设计的流程 四、实验步骤: 步骤1:绘制总原理图 (1)执行菜单命令“File”→“New”数据库命名为我的文件 (2)对电路进行合理的功能分块,并画出层次原理图总图 1、在文件对话框中,右击“New”,从框中选择原 理设计服务图标。 2、双击图标或单击【OK】按钮,就会建立原理图 设计文档

3、双击原理图文档图标,进入原理图设计服务器的界面。 4、执行菜单命令“放置”→“图纸符号”。 5、执行完该命令后,光标变为十字形状,并带着方块电路,在此命令下,按下键,在对话框中,将文件名选项设置为l.sch,将名称选项设置为Sheet 1。 6、将光标移动到适当的位置后,单击鼠标左键,确定方块电路的左上角位置。然后拖动鼠标,移动到适当的位置后,单击鼠标左键,确定方块电路的右下角。

这样就定义了方块电路的大小和位置,绘制出了一个名为Sheet l的模块。 7、用步骤(5)(6)的方法,也绘制一个名为2.sch 的模块。 8、执行菜单命令“放置”→“放置图纸符号”。 9、在(8)的命令下,光标变为十字形状,并带着方块电路的端口符号,在此命令下,按下键,在对话框中,将名称选项设置为1,I/O类型选项设置为输出,边和样式选项设置为向右。将光标移动到模块边界后,单击鼠标左键,将其定位;同样,根据实际电路,在Sheet l模块上放置一个 1. 2的端口。 10、重复(9)的操作,设置模块的端口 备注:也可以先绘制原理子图添加符号后,在“设计”中,“由符号生成图纸”出现十字光标点击将会生

相关文档
最新文档