彩灯控制器的设计

彩灯控制器的设计
彩灯控制器的设计

课程设计说明书

课程名称:_______电子技术基础_________ 题目:彩灯控制器的设计

学生姓名:____________________

专业:_______________________

班级:_______________________

学号:_______________________

指导教师:____________________

日期:__________ 年—月日

课程设计任务书

设计题目

彩灯控制器的设计

二、主要内容及要求

(1)以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、

1、2、3、4、5、6、7、8、9 (自然数列),1、3、5、7、9 (奇数列),0、2、4、

& 8 (偶数列)和0、1、2、3、4、5、6、7、0、1 (音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。

(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出

自然数列的0,再显示出1,然后按上述规律变化。

三、进度安排

1. ,老师给出选题内容,课程设计的相关要求,指导时间及任务完成期限。

2?去图书馆和网上查找相关资料,并且构思整个设计思路。

3, 选择适当的芯片组合电路,设计各个部分的电路图,并仿真,改善电路图。

4. 根据电路的原理写出设计方案。

5?设计方案的检查,修正,改进,按要求打印方案。

四、总评成绩

指导教师 _____________

学生签名 _____________

题目彩灯控制器的设计

一、设计任务与要求

(1)以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。

(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。

二、方案设计与论证

彩灯是一束束用导线连接起来的并联灯泡,当接通电源后,彩灯就会工作,但里面可能是由编程或非编程的电路控制灯泡的运作的,譬如实现音乐彩灯、闪烁、循环、时控等功能。

数列的产生可以通过计数器和逻辑门实现,而循环则需要用到时序电路控制,如触发器等,而最后可以用逻辑门把几个输出接到同一个数码管。

方案一、

1. 选择用JK 触发器构成两位二进制计数器控制四种循环,可以用到7476(集成JK 触发器)。

2. 用十进制计数器产生序列,采用具有异步清零和异步置数功能的74192(双时钟十进制同步可逆计数器)来实现包括0-9 的自然数列、1-9 的奇数数列、0-8 的偶数数列和音乐数列的二进制。

3. 再通过逻辑门电路将序列输出。包括7432(集成二输入或门),与门、或门、非门、与非门、或非门、异或门。

方案二、

1. 异步二一五一十进制加法计数器的74LS90和74LS153来实现包括0-9的自然数列、1-9 的奇数数列、0-8 的偶数数列和音乐数列的二进制。

2. 采用555定时器构成的多谐振荡器、74LS48七段数码管各一个,2K电阻两个,0.01uF、100uF电容各一个,线若干来控制四种循环。

3. 该设计的关键是对产生十进制的 74LS90的QA QD 强制置数的处理,设计要 求产生奇

数,实际上就是

QA 强制置1;要求产生偶数,实际上就是把QA 强制置

0;要求产生0-7的音乐符号,实际就是把 QD 强制置0。

方案论证:

方案一用到的材料比较简单,在课本和实验中学到过。比方案二思路简单易 明,用到电容和555定时器来构成,容易造成错误。所以选择第一种方法。以下 讲述设计的过程与思考。

三、单元电路设计与参数计算 1、彩灯控制器的构成

设计的总电路由循环控制电路、序列控制电路、输出电路三部分组成,其中 序列控制电路又包括自然序列、奇偶序列和音乐序列控制电路。其工作流程是通 过循环电路控制序列电路中序列的循环,再由输出电路输出序列。

其工作框图如图1所示。

1.循环控制电路

循环控制电路由芯片7476构成,芯片内含两个JK 触发器。用两个JK 触发器连

接成同步两位二进制加法计数器, 其输出有00、01、10、11四个状态。 输出状态由驱动信号和时钟CP 控制。计数器的驱动信号为

J 。 K 。 1, J 1 K 1 Q (n ,JK 触发器的时钟CP 为下降沿触发,由于下面电路的 需要,所以在脉冲输入到JK 触发器的时钟之前接了一个非门,使该芯片变为上

循环控制电路

自然序列 奇数序列

音乐序列叭——?偶数序列

2.彩灯控制器的工作原理

图1电路工作总框 图

升沿触发。所以,每当一个脉冲由0跳变到1时,由JK触发器组成的两位二进

制加法计数器就由一个状态转换到另一个状态,如初始状态为

J o K o 1,Qo 1Q0,每当计数脉冲CP由0变到1,输出都实现翻转功能,

即Q01由状态0翻转到状态1,又因为这时J1 K1 Q0n0,则由JK触发器的

功能知道输出会保持在0状态,只有当J1 K1 Q; 1时高位才发生翻转,其中

每个状态停留的时间可以由脉冲频率控制。这里采用同步计数是因为同步比异步的工作速度要快。还有JK触发器连接成的同步两位二进制加法计数器的初始状态为00,并不像别的计数器要先清零。

同步两位二进制加法计数器的电路图如图2所示。

图2同步两位二进制加法计数器的电路图

00,因为

>1CLK

^

1K

1PR巳1Q

心LR'lQ f

1」GND

VCC

WCLJC

2CLR f2J

7476

J K触发器HC76的逻辑功能表

循环控制电路的四个状态分别控制循环,即状态oo控制输出自然数列,状态01控制奇数序列,状态10控制偶数序列,状态11控制音乐序列。要使当一个序列工作完之后输出另外一个序列则需要控制序列控制电路中的时钟脉冲的输入,例如当正在输出自然数列,则控制输出自然数列的芯片有脉冲到来,而其他序列控制芯片则得不到时钟脉冲,因此,序列才能一个一个地输出。

2. 序列控制电路

(1)自然序列

芯片74192为双时钟十进制同步可逆计数器,可以产生0到9的自然序列。74192具有异步清零和异步置数功能,当清零端为高电平时,不管时钟脉冲如何,计数器的输出被强制置零,当清零端为低电平,置数端为低电平时,不管时钟脉冲如何,将立即把预置数据输入端A、B C、D的状态置入计数器的Q A、Q B、

Q D端,当清零端为低电平,置数端为高电平,减法的时钟接高电平,加法端的时钟接脉冲时,每当脉冲由0上升到1,芯片就会完成一个计数。

双时钟十进制同步可逆计数器的电路图如图3所示,

图3双时钟十进制同步可逆计数器的电路图

(2)奇偶序列

奇数列和偶数列电路都由同一块74192芯片和一些逻辑门构成。因为奇数的几何表达式为2n 1,而偶数的则为2n,根据设计的要求,其n值只能取0、1、

2、3、4,其实就是一个五进制的计数器,将它的输出连成2n 1和2n就构成了

奇数跟偶数序列。因为置清端是高电平有效,即当输入逻辑1时输出端全为零,所以,将芯片74192双时钟十进制同步可逆计数器连接成五进制的计数器只需在它的输出端Q A跟Q C端接一个与门,与门输出端接到清零端。因为当输出

Q D Q C Q B Q A为0101时立刻清零,电路变为0到4计数功能。要实现奇数列可以在其输出端使各输出端相加再加上0001或者将逻辑1与Q A端相加,而偶数直接各输出端相加再加上0000或者将逻辑0与Q A端相加就行了。而2n可以用半加器来实现,异或门则就是半加器。在Q A端引出另外一条线跟原来的Q A一起接到异或门的两个输入端,输出则接到一个数码管的低位,而该低位的进位用与门将两个Q A接起来,而高一位的输出端Q B则用两个异或门将两个Q B和低一位的进位端即Q A的进位端连起来,输出到一个数码管的Q B端,而Q B的进位端则由

低一位的进位端即Q A的进位端与上Q B,输出端再引出一端与原来的输出接或

门,或门的输出与Q B在接或门,这个就是Q B的进位端,接着同理连接Q c和Q D 若要输出奇数可以在输出低位处将Y A异或一个逻辑电平1再输出到数码管,

偶数则异或一个逻辑电平0就行了

其电路图如图4所示

图4奇偶序列的电路图

(3)音乐序列

输出音乐序列还是用一块74192芯片构成十进制加法计数器来实现。因为十进制加法计数器输出的状态有0000、0001、0010、0011、0100、0101、0110、0111、1000、1001,对应的数字为0、1、2、3、4、5、6、7、8、9,而音乐序

列的值为0、1、2、3、4、5、6、7、0、1,通过对比较可以看到在0到9的状态1000、1001中,当去掉高位的逻辑1,则可以得到音乐序列。所以,在十进制加法计数器的输出中悬空了最高位Q D端则可以由自然序列转变为音乐序列。

音乐序列的电路图如图5所示,

wCL

C

OA

图5音乐序列的电路图

3. 输出电路

输出电路是由两片7432的芯片构成,其中一片芯片里面有4个或门。设计的电路中把每个序列的对应输出端用芯片连接起来,当一个序列输出时其他序列

的各输出端均为逻辑电平0,则输出只会显示该运作的序列,其他的对现在输出的序列不够成影响,因此,它会按会按循环的顺序输出自然序列、奇数序列、偶数序列、音乐序列。

其电路图如图6,

i

n1A VCC

IB 4E

1Y 4A

"4Y

rB 3B

3A

GNE 3Y 14

IQ丄g VCC

LB 4E

tr 4A

4Y

35

◎3

GML 3Y

——■ O£19

.111

912 5

呂9

.4n

17

二6

7

g

& 74337432

图6输出控制电路的电路图

E VCC

QB肖

QA CLF?

EOWM B0;

UP

QC LOW

QD

2

3

.11

-13

四、总原理图及元器件清单

i总原理图如下:

T丈吋哎』T T

t W4■■■-| WR

r—

——1<童

寻—I-

---- f

g o'告吕生旨旨畧

1

L

%

O

耳X自苕亘当自自呂雪

g|

|

n i

导手斗与羽拈温任I

龙!^S ^i客

T d ? F

a

g

Kd

1工

0士攻以=彳

莹适急溶日曾j口

r*%S?Sse?&^

JI 1- LE^

C

U

g II

J.

||

?

Hi'

图7彩灯控制器电路图

a

5zr

2 ?元件清单

五、性能测试与分析(写仿真调试与分析)

理论上该电路是可行的。当接通电源电路开始工作,输出5秒的自然序列后接着是5秒的奇数序列、5秒的偶数序列、5秒的音乐序列,但通过仿真,其结果为以上的顺序,不过在奇偶序列时状态1跟状态0跳得太快了,几乎看不到,而在后面在4秒后则回到状态1跟状态0,(即奇数序列为3、5、7、9、1偶数序列为2、4、6 8 0)。这是由于用到的逻辑门多,产生了延时,通过改变脉冲频率,使循环控制电路的时钟脉冲频率调大一点,奇偶序列控制电路的脉

冲频率调小一点,则理论跟实际的结果相符。

六、结论与心得

每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,作为电信专业的我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。

经过了多个星期的努力,终于把设计说明书给做出来了。总的来说,对于这次的设计不是很满意,因为没有考虑到时间的延迟,实验结果不是很符合实际,还有这次的实验所用到的元器件都是很基本,由于对别的元器件,如555定时器

的不熟悉,只能用这些基本元器件来做了。不过这个电路原理比较简单,多数都是计数器,电路还可以用一些实现相似功能的芯片来代替某些部分,如半加器。通过本次电路的设计,不但巩固了所学知识,也使我们把理论与实践从真正意义上结合起来,考验了我们借助互联网络搜集、查阅相关文献资料和组织材料的综合能力。使我对一些芯片的功能更加熟悉,更好的运用逻辑门,理论知识得到了巩固,而且这次的设计培养了我的操作的能力,提升了我们学生的素质。

七、参考文献

1、康华光、邹寿杉 《电子计数基础》 (数字部分)第四版 高等教育出版社 2000 年 6 月第

四版;

2、包亚萍主编《数字逻辑设计与数字电路实验技术》 中国水利出版社 2003 ;

3、 朱宝华主编《电子测试与实验》 清华大学出版社 2004.4 ;

人民邮电出版社 2006.5 ; 国际工业出版社 2006.8 ;

数字部分) 武汉理工大学教材中心 2006 年 2 月

电子工业出版社出版 2005 年 1 月;

300 例》,中国电力出版社, 2005 年第 1 版;

9、黄续昌主编《数字集成电路应用 300 例北京人民邮电出版社 2002 年 1 月; 10、苏志平主编《数字电子技术全程辅导》中国建材工业出版社

4、陈永甫主编《数字电路基础及快速识

图》

5、陈振宫主编《数字电路及制作事例》

6、祁存容、陈伟《电子计数基础实验》

出版;

7、周惠朝 《常用电子元件及典型应用》

8、刘修文主编, 《实用电子电路设计制

2004 年 2 月第四版。

如果要按要求输出循环序列,则需要把以上每一个部分按一定的关系连接起来。因为循环控制电路的四个状态分别控制循环,即状态00 控制输出自然数列,状态01控制奇数序列,状态10控制偶数序列,状态11 控制音乐序列。要使当一个序列工作完之后输出另外一个序列则需要控制序列控制电路中的时钟脉冲的输入,例如当正在输出自然数列,则控制输出自然数列的芯片有脉冲到来,而其他序列控制芯片则得不到时钟脉冲,因此,序列才能一个一个地输出。而时钟脉冲的输入,可以用一系列的逻辑门来实现。因为当循环控制电路为00 状态时要输出自然序列,而双时钟十进制同步可逆计数器的时钟是上升沿触发的,因此,当输入逻辑电平为00 时要输出逻辑电平1,所以,循环控制电路的两个输出端之后应先接一个或非门,或非门的输出端接自然数列控制电路的时钟,而当循环控制电路输出其他状态时,自然数列控制电路没有时钟脉冲的到来,因此,控制自然数列的芯片不工作,而其他的芯片工作;当循环控制电路为01 状态时要输出奇数序列,要在01 状态时有上升脉冲的要在循环控制电路的两个输出端之后应先接一个异或门,异或门的输出接到奇数数列控制电路的时钟,因为异或门的逻辑功能是当输入不同时输出才为逻辑1,这点符合了脉冲输入的要求,要输出为奇数还要控制其输出的低位端为1,可以将循

环控制电路的输出端Q2 跟自然数列控制电路的时钟到来前的脉冲接一或

非门(即循环控制电路的输出端Q2和Q i接了或非门后引出一条线跟循环控制电路的输出端Q2接或非门),所以,当循环控制电路为01状态时输出奇数序列,当循环控制电路为10 状态时则输出偶数序列;要输出音乐序列,则要给控制音乐序列的电路输入一个上升脉冲,而其他的序列控制电路则没有脉冲,则应该在循环控制电路的两个输出端接一与门才输出到音乐序列控制电路的时钟,所以,当循环控制电路为11 状态时,经过与门后输出一个上升脉冲,则音乐序列控制电路运作,即输出音乐序列。要做到当一个序列输出其他的序列为0,则必须在一个序列控制电路工作时其他的序列控制电路清零,例如将循环控制电路输出端接或非门的输出M 跟循环控制电路输出端接接与门的输出N接一或门,或门的输出接奇偶控制电路的清零端(原来的五进制控制用与非门接到置数端),M接到音乐序列控制电路的清零端,循环控制电路输出端接异或门的输出接到自然控制电路的清零端,这样就能使一开始自然控制电路工作,其他序列控制电路停止工作而且在输出自然序列前其他的芯片已清零,则输出的只会是自然序列,其他的序列不会影响到自然序列的输出,而且一接通电源就能实现清零和从0开始。要使序列实现循环还要控制脉冲的周期,即循环控制电路的周期为0.2HZ, 另外,每个序列控制电路的脉冲输入前使原来的脉冲接一与门,与上一周期脉冲再输入到时钟,调节每个序列控制电路的脉冲周期,自然序列和音乐序列的脉冲周期为2HZ 奇偶序列的脉冲周期为1HZ, 所以,输出分别为5 秒的自然序列、奇偶序列和音乐序列。

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

可编程彩灯控制器课程设计报告

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成等。 编者:周滨 2009年1月

目录 一、课题名称 (1) 二、设计目的 (1) 三、设计要求 (1) 四、设计方案 (1) 五、基本原理框图 (4) 六、模块设计思想 (5) 七、所需元器件 (8) 八、实际操作 (9) 九、具体电路 (10) 十、电路图设计 (10) 十一、安装与调试 (10) 十二、存在的不足与新设想 (11) 十三、心得与体会 (11) 十四、参考资料 (13) 附件一 (13) 附件二 (14) 附件三 (14)

一、课题名称 可编程彩灯控制器 二、设计目的 电子课程设计是电类专业学生重要基础实践课是工科专业的必修课。经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练。理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设计,毕业后的工作打下基础。 学习电路的基本设计方法;加深对课堂知识的理解和应用。完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡;学会设计报告的撰写方法。 三、设计要求 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用发光二极管行队列(1×16)作为显示电路,显示内容的动面感 要强; 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选; 7、控制器可有2种控制方式: (1)规则变化:变化节拍有0.5秒和0.25秒,交替出现,每种节拍可有多种花样各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等; (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 四、设计方案 (一)设计思路 通过对硬件编程,将各种图形存储在EEPROM中,通过计数器控制各种图形的地址,再利用显示点阵显示出来。系统所显示的内容可反复循环,直至加电清零,便可以回到初始画面。

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

基于单片机的彩灯控制系统设计

四川信息职业技术学院 毕业设计说明书 设计题目: 基于单片机的彩灯控制系统设计专业: 二0一一年十一月二十日

目录 摘要 0 绪论 (1) 第1章彩灯控制器方案设计和选择 (2) 1.1 设计要求 (2) 1.2 系统功能 (2) 1.3 方案选择 (2) 第2章硬件设计 (5) 2.1 系统整体硬件电路和各部分介绍 (5) 2.1.1 单片机AT89C52 (5) 2.1.2 复位电路 (7) 2.1.3 时钟电路 (8) 2.2 74HC595的引脚及功能 (9) 2.3 驱动模块 (10) 2.4 显示模块简介 (12) 2.5 彩灯控制器总图 (13) 第3章软件设计 (15) 3.1 语言选择新型 (15) 3.2 程序功能说明 (16) 3.3 主程序流程图 (16) 3.4 程序简介 (17)

第4章彩灯控制器的调试与仿真 (18) 结论 (25) 参考文献 (27) 附录1 源程序清单 (29) 附录2 整机电路图 (33) 附录3 元器件明细表 (34)

摘要 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D 转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。单片机比专用处理器更适合应用于嵌入式系统,因此它得到了最多的应用。事实上单片机是世界上数量最多的计算机。现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。 手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。而个人电脑中也会有为数不少的单片机在工作。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。本设计是一种基于AT89C52单片机的彩灯控制方案,实现对LED彩灯的控制。 本方案以AT89C52单片机作为主控核心,用32个LED构成显示模块、用74HC595作为驱动模块,由编程实现亮灯循环模式。由在显示模块上有32个LED彩灯,根据用户需要可以编写若干种亮灯模式. 本系统具有体积小、硬件少、电路结构简单及容易操作和阅读等优点。该LED彩灯控制器实际应用效果较好,亮灯模式多。与其他LED彩灯相比,具有体积小、价格低、低能耗等优点。在能源比较匮乏的今天,彩灯的循环控制在显示方面更表现出一种节约能源的魅力.这将使彩灯具有更广阔的发展天地。 关键词AT89C52;74HC595;循环LED彩灯

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

(完整版)基于51单片机的楼体彩灯控制系统毕业设计

基于51单片机的楼体彩灯控制系统 摘要 随着社会的进步,人们的审美眼光不断提升,城市的景观建设也备受人们重视。房地产的崛起让城市的楼层越来越高,单调的混凝土让城市的夜晚变得单调。然而,伴随着城市越来越多的工程亮化工作的增多,越来越多的城市面积扩大,集中性的维护以及管理城市以及景观的亮化工作也变得越来越迫切,常常有不少城市的楼体亮化或景区的亮化在平常时不愿意开启,只有周末或者在国家法定节假日开启,这样一来更加造成了维护人员的困难。 基于51单片机的楼体彩灯控制系统,其总体架构是由一个51主控板采用80C51和多个51单片机控制节点所组成,主控板通过无线发射模块发射信号给子节点,子节点收到信号后通过MCU的I/O口来控制继电器来实现远程控制楼体彩灯开

关,与此同时,子节点单片机将此节点彩灯的开关信号发送给主控板。其中主控板用来处理复杂的子节点信号,通过内部算法进行实时有效的控制整栋楼甚至是多栋楼的亮灯效果。 伴随着科技的进步计算机在社会各个方面开始大规模应用,单片机随着集成电路的发展越来越便捷,操作更加简单,体积越来越小,功能越来越多,在生活上的应用随处可见。更是随着智能家居的口号越来越响,单片机和A/D芯片应用更是与日俱增。如何让楼体彩灯控制智能化,引发了我们的思考。本文阐述了以MCS-51单片机为核心控制的楼体亮化系统的设计方案。 该设计方案能够智能化控制楼体彩灯,极大的节省了人力成本,并且能很好的实现楼体美化以及很好的广告效果。 关键词:MCS-51单片机楼体彩灯控制系统无线发射模块

THE CONTROL CYSTERMOF FLOOR LANTERN BASED ON 51 MCU ABSTRACT As society progresses, people's aesthetic vision of continuous improvement, construction of urban landscape has attracted much attention. Rising real estate make the city more and more high floor, monotonous concrete to make the city at night becomes monotonous. However, with the increasing number of urban lighting engineering work increased, more and more cities expand the area of concentration of maintenance and management of urban and landscape lighting has also become more and more urgent, and often there is not House of the city's less scenic lighting lighting or unwilling to open during normal, open only on weekends or during a national holiday, so to create a more difficult maintenance personnel.

相关文档
最新文档