电子定时器设计

电子定时器设计
电子定时器设计

《专业实训设计报告》

设计题目:电子定时器设计

班级:电子11-2班

学号: 1106040207

学生姓名:李高

指导教师:刘英哲

一、设计要求

要求实现一个电子定时器,即根据外部输入的计定时间进行计时(时间可采用外部按键的方式输入),并实时的显示当前计时结果,当计时到计定时间后进行警报(可通过LED 闪烁或蜂鸣器)。计时时间以秒/分为显示单位,可分别实现对5 分钟,10 分钟,15 分钟和20 分钟的计时。

二、设计的目的

1.掌握电路设计的一般方法

在前面我们已经学习了模电、数电、单片机的理论知识,并对模拟电路,数字芯片和单片机各个接口的功能、各个功能模块有了了解。通过此次课程设计,我们可以更加了解单片机及其使用,并围绕单片机设计拓展电路。

2.掌握电路仿真和调试过程

此次课程设计是一个综合设计,要求我们做出实物。在设计中我们要学习软硬件,绘制和焊接电路,通过调试使定时器能够正常工作。

3.提高总结能力

完成智能定时器实物设计后,我们要在报告中总结设计过程,经验和分析结果,对设计不足的地方提出改进建议。

三、设计的具体实现

方案一:

采用555定时器来输入脉冲,先通过74LS90进行十进制计数(时钟的秒数个位),达到进位时将进位送入74LS92进行六进制计数(时钟的秒数十位),进位送入74LS90进行十进制计数(时钟的分位);由74LS47译码后送给数码管进行显示。

方案二:

该方案仅由主电路、按键电路、显示电路、报警电路,四个模块组成。整个系统的计时功能皆由STC89C52内部自带的定时器T0来实现;时钟由共阴极数码管显示;五个开关按钮可以实现时钟的加,分钟的加,预置定时时间,定时启停;并利用蜂鸣器进行定时报警。方案框图如图3-1:

图3-1

方案一中虽然不需要程序,但是电路复杂,且不符合课程设计“以单片机为核心”的要求,所以最后采用了方案二。方案二,电路简单,时钟部分完全用软件实现,操作方便,完全可以满足课程设计要求。

根据选题要求,设计任务主要完成LED数码管能实现秒/分的显示;通过按钮调整时间;预置定时时间定时;并提醒用户定时时间到。为完成相应功能,系统设计包含以下几个基本模块:控制模块、信息显示模块、报警模块。总的框图如上面方案二中图3-1所示。

1.控制模块的选取方案

控制器是控制模块的核心,控制模块主要完成时钟和定时功能,从按键读取操作要求、从数码管和蜂鸣器信息显示。

方案一:采用中小规模集成电路。

采用中小规模集成电路构成的控制电路,由于外围器件多,容易出故障,而且调试起来非常麻烦。

方案二:采用ATMEL 公司的AT89S51作为系统控制器。

单片机算术运算功能强,软件编程灵活、自由度大,可用软件编程实现各种

算法和逻辑控制,并且由于其功耗低、体积小、技术成熟和成本低等优点,使其在各个领域应用广泛。本系统控制算法不太复杂,系统规模较小,适宜采用单片机控制方案。

综合考虑,本设计采用方案二。

2.硬件设计及电路图

1)设计原理:

硬件电路主要有单片机最小系统,按键输入电路,LED显示电路,蜂鸣器电路组成。

按键输入电路:对定时器输入定时时间、时钟时间,并对其调整。

最小系统:包括晶振电路,复位电路。

LED显示电路:显示数字钟时间,定时时间和按键操作。

2)单元电路设计:

控制器:本设计采用A T89S51单片机芯片,AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。

在设计中,P1.0~P1.6口用来控制数码管的段选,P1.7口控制小数点显示;P0.4~P0.7则用来控制LED数码管的位选;P0.0、P0.1、P0.2、P0.3是按键输入

接口;P2.7控制蜂鸣器报警。时钟定时由定时/计数器T0实现。

晶振电路设计:时钟电路对单片机是不可缺的,单片机的每个功能都要以时钟电路为基础工作。单片机内部自带一个时钟电路,外部接入定时控制元件即可构成一个稳定的自激振荡器。其中机器周期共有12个振荡脉冲周期,因此,机器周期是时钟周期的12倍。本实验中时钟电路中使用的晶体是12MHz,则时钟周期为(1/12)us,机器周期为1us。在电路中两个电容C1,C2的作用有两个:一是帮助振荡器起振;二是对振荡器的频率进行微调。电路图如下:

复位电路设计:复位操作有上电自动复位、按键电平复位和外部脉冲复位三钟方式,本次实验用的是按键电平复位,利用电容的充放电公式来选择所需的电容、电阻,能保证复位信号高电平持续时间大于2个机器周期。电路图如下:

按键输入电路:

按键输入电路有4个按钮组成。SEC/MIN分别控制秒钟、分钟加1,SET 设置时间,START/REST控制定时的启停, ALARM ON/OFF控制报警灯的闪灭。电路图如下:

LED显示电路:

LED数码管就是由发光二极管组合而成的1种新型显示器件。LED显示采用了7SEG-MPX4-CC-BLUE四位共阴极数码管。最后确定LED显示电路如图:

报警灯电路:

单片机P2.7口高电平使报警灯工作。电路如图:

3.软件设计

软件设计主要是实现对定时/计数器T0的控制。总体思路是用中断方式计数定时器T0定时到50毫秒的次数。如果计满20次,则表示1秒到达,接着就可以软件方式实现分,时的加1或清零。此次设计采用了T0的定时工作方式1。下面介绍与设计相关的定时/计数器T0的控制寄存器和工作方式1。

定时/计数器方式寄存器TMOD:TMOD低四位用于选择T0的工作方式。GATE门控(开/关),GATE=0则T0工作在自启动方式;GATE=0工作在外启动

方式。C/—

T 计数/定时,C/—

T

=0则T0定时;C/—

T

=1计数。M1M0=00,T0选择工作

方式0;M1M0=01,T0选择工作方式1;M1M0=10,T0选择工作方式2;M1M0=11,T0选择工作方式3。定时/计数器控制寄存器TCON:TR0位是T0运行控制位。TR0=1,启动T0工作;TR0=0,停止T0工作。工作方式1:16位定时计数方式,THx和TLx各提供8位计数初值,当TLx低8位计数满回零向THx进位,当THx也计数满回零时置位TFx。方式1最大计数值为65536,是计数值最大的方式。程序使用Keil软件编写,完整程序见附2。下图是程序的总流程图:

4.元器件清单

四、结论与展望

倒计时定时器已经成为人们日常生活中必不可少的物品,随着技术的发展,广泛的应用于各个公共场所,给人们日常的生活、学习、工作、娱乐带来便利,但是由于原先简单的报时功能已经不能够被人们所满足,希望出一些新的功能新的产品来满足人们的需要,例如重要日子的倒计时、秒表等等,这些都能带来更大的方便。而所有的这些都是以倒计时定时器为基础的。因此,研究倒计时定时器有着非常重要的意义和实用价值。

电子定时器在家用电器中经常用于延时自动关机、定时。延时自动关机可用于:收音机、电视机、录音机、催眠器、门灯、路灯、汽车头灯、转弯灯以及其他电器的延时断电及延时自停电源等。定时可用于:照相定时曝光、定时闪光、定时放大、定时调速、定时烘箱、冰箱门开定时报警、水位定时报警、延时催眠器、延时电铃、延时电子锁、触摸定时开关等。例如:空调中的定时器,在工作一段时间之后便能自动切断电源停止工作。夏季夜间使用,入睡前先顶好时间,等睡熟后到了预定时间,空调自动关机,方便节能。定时器除了应用于家用电器外,还广泛地用于工业农业生产和服务设施等等。

五、心得与体会

通过这次课程设计,我学习到了我平时没有接触过的东西,特别是这个学习过程,就突然感觉自己终于把书本上的理论用到了生活中至少是与生活跟接近了。把这些知识运用到实际中去,对于做家用定时器硬件的时候有着很大的帮助,同时还培养了我的自学能力和独立思考能力。在调试过程中每当遇到问题的时

候,都能通过自己的思考分析,一次又一次的实验最终能够完成。这对我也是一次很大的考验,能有这次锻炼的机会是我不可缺少的经历。感谢老师的指导、同学的讨论,让课程设计的整个过程变得更加具有人情味,同学们的思维也能更好地得到舒展。总的来说,这次课程设计是一个不错的经历,告诉我要不断学习,不断提高。

六、参考文献

[1]吴金戎,沈庆阳,郭庭吉. 8051单片机实践与应用[M]. 北京:清华大学出版社,2002

[2]李鸿. 单片机原理及应用[M]. 湖南:湖南大学出版社,2004

[3]肖洪兵. 跟我学用单片机[M]. 北京:北京航空航天大学出版社,2002.8

[4]马忠梅藉顺心,单片机C语言应用程序设计,北京航空航天大学出版社,2007

[5]刘刚,秦永左,单片机原理及应用,北京,北京大学出版社,2006

[6]李群芳, 肖看, 张士军. 单片微型计算机与接口技术, 2012.1

附1:

附2:

Timer:

#include

#include "cext.h"

#include "timer.h"

#include "taskproc.h" // interrupt period (1 msec)

#define tmr_time 1e-3

static uchar counter ;

static uchar cnt, dpcnt, kbcnt;

static uint timer_counter;

#include

#include "cext.h"

#include "timer.h"

void main( void )

{ // initializes internal 8052 timer.

// Clock Frequency = 12 MHz

init_timer(12e6);

// enables interrupts

EA = 1;

// loop for ever

while (1);}

void ISR_timer2 () interrupt 5 using 1 // Timer2 isr

{ // Clear interrupt

TF2 = 0;

// Do it at 1ms

led_mux();

// Task procedure.

// Each task runs every 10ms multiplied by its own task counter // S0:

if (counter == 1)

{ if (cnt == 100)

{ cnt = 0;

led_counter();}

// Increment of the Timer task counter

cnt++; }

// S1:

if (counter == 2)

{ if (dpcnt == 20)

{ dpcnt = 0;

blink_dp(); }

// Increment of the decimal point task counter

dpcnt++; }

// S2:

if (counter == 3)

{ if (kbcnt == 25)

{ kbcnt = 0;

get_key(); }

// Increment of the keyboard task counter

kbcnt++; }

if (++counter == 10) counter = 0;

/*

State table

S0: Timer counts

S1: Blink dp

S2: get keys

Other task state are null

*/ }

void init_timer (float fclk)

// Timer2 initialization

{ int tmr_reload;

counter = 0; // initialize state counter

// initialization T2CON:

// CP/RL2 = 0 (autoreload, no capture),

// EXEN2 = 0 (disable external input T2EX),

// C/T2 = 0 (timer, no counter),

// RCLK = TCLK = 0 (timer, no baud rate generator), // TF2 = EXF2 = 0 (interrupt flags cleared)

// TR2 = 0 (timer stop, please)

T2CON = 4;

// Load timer2 autoreload bytes

tmr_reload = (0xFFFF - (uint)((fclk * tmr_time) / 12)); RCAP2H = high_byte(tmr_reload);

RCAP2L = low_byte(tmr_reload);

// Highest priority for Timer2 interrupts

PT2 = 1;

// Enables Timer2 interrupts

ET2 = 1;

// Start Timer2

TR2 = 1; }

Task Proc:

#include

#include "cext.h"

char num[ ] = {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; // 7-seg codes

char add[ ] = {0x0fe,0x0fd,0x0fb,0x0f7}; // Multiplexing address

char dpn[ ] = {0,0,0,0}; // Dots matrix

char buf[ ] = {0,0,0,0}; // Counter buffer

char alm[ ] = {0,0,0,0}; // Alarm sets buffer

char value, key_mask, new_key;

static uchar d0, d1, d2, d3, d5;

static uchar flag;

static uchar digit_counter;

static char set_flag;

static char set_count;

static char set_alm;

static char stop_counter = true;

char un, th;

uint timer;

uint alarm;

uchar del;

// P2.7. Alarm output

sbit alm_out = P2^7;

// processor switch contest.

#pragma registerbank (1)

// Multiplexing Task. Do it every 10ms

void led_mux ()

{ if (!set_flag)

{ // Normal counting. Display the counts.

value = buf[digit_counter];

P1 = num[value] | dpn[digit_counter] ; }

else

{ // Display alarm values

value = alm[digit_counter];

P1 = num[value] | dpn[digit_counter] ; }

if (set_alm)

{ // Timer and alarm value

timer = buf[0] * 1000 + buf[1] * 100 + buf[2] * 10 + buf[3]; alarm = alm[0] * 1000 + alm[1] * 100 + alm[2] * 10 + alm[3]; // Check whether the alarm condition is occured or not

if ((alarm - timer) <= 0)

{ alm_out = false; // Make alarm out low.

stop_counter = true ; // Stop the counter. } } // Drive the digit actually multiplexed.

P0 = add[digit_counter];

for (del=0; del<100; del++) ;

// Increase multiplexer address to the next digit

digit_counter++ ;

// Did you diplay all digit ?

if (digit_counter >= 4)

// Start again.

digit_counter = 0;

// Pulse high P0.0, P0.1, P0.2 and P0.3

P0 = P0 | 0x0f; }

// Blink on and off the dots when counting and alarm is on.

void blink_dp ()

{ if (!set_flag && !stop_counter)

{ if (flag)

dpn[1] = 0x80;

else

dpn[1] = 0x0;

flag = !flag; } }

// Counter. Counts from 00.00 to 59.59 min.sec.

// The Timer interrupt is driven every 1 sec.

void led_counter ()

{ if (!stop_counter) buf[3]++;

if (buf[3] > 9)

{ buf[3] = 0;

d3 = true; }

if (d3)

{ ++buf[2];

d3 = false; }

if (buf[2] > 5)

{ buf[2] = 0;

d2 = true; }

if (d2)

{ ++buf[1];

d2 = false; }

if (buf[1] > 9)

{ buf[1] = 0;

d1 = true; }

if (d1)

{ ++buf[0];

d1 = false; }

if (buf[0] > 5)

{ buf[0] = 0;

d0 = true; } }

// Four keys Task manager.

// Timer interrupt driven every 250ms

void get_key ()

{ char valid_key;

char delay = 127;

key_mask = P0 & 0xf0;

while (--delay >= 0) ;

valid_key = P0 & 0xf0;

if (key_mask != valid_key) valid_key = 0;

while ((P0 & 0xf0) != (0 | (valid_key & 0x40))) ;

switch (valid_key)

{ case 0x10: // Reset Timer

buf[0] = 0;

buf[1] = 0;

buf[2] = 0;

buf[3] = 0;

alm_out = true;

stop_counter = !stop_counter;

break;

case 0x20: // Set alarm

++set_count;

if (set_count == 1)

{ // First time SET is pressed. Sets seconds

set_flag = true;

dpn[0] = 0; dpn[1] = 0; dpn[2] = 0x80; dpn[3] = 0x80; } else if (set_count == 2)

{ // Second time SET is pressed. Set minutes

set_flag = true;

dpn[0] = 0x80; dpn[1] = 0x80; dpn[2] = 0; dpn[3] = 0; } else if (set_count == 3)

{ // Latest time SET is pressed. Return to timer functions. set_flag = false;

set_count = 0;

dpn[0] = 0; dpn[1] = 0; dpn[2] = 0; dpn[3] = 0; }

un = 0;

th = 0;

break;

case 0x40: // Set seconds/minutes

if (set_count == 1)

{ ++un;

if (un > 9)

{ th++;

un = 0;

if(th > 5)

th = 0; }

alm[3] = un;

alm[2] = th; }

else if (set_count == 2)

{ ++un;

if (un > 9)

{ th++;

un = 0;

if(th > 5)

th = 0; }

alm[1] = un;

alm[0] = th; }

break;

case 0x80: // Set alarm on/off

set_alm = !set_alm;

if (set_alm)

{ dpn[0] = 0x80; dpn[1] = 0x80; dpn[2] = 0x80; dpn[3] = 0x80;} else

{ dpn[0] = 0x0; dpn[1] = 0x80; dpn[2] = 0x0; dpn[3] = 0x0; } break; }}

专用定时器的设计

一种基于单片机的多功能定时器的设计摘要与关键词:定时器、 目录:1.设计任务 2.方案设计与论证 3.硬件电路设计 3.1 STC单片机的最小系统 3.2 LED显示与单片机接口 3.3 发光二极管、按键、继电器与单片机接口 4.软件设计 4.1 发光二极管指示程序 4.2 键扫描程序 4.3 数码管的显示程序 5.系统调试 6.电路原理图 7.源程序 8.主要参考文献 前言 正文 结论 致谢 附录 参考文献

1.1.设计任务 设计一个基于单片机控制的专用定时器。要求定时器可以实现三个时间的显示,而且每个时间的初值都可以改变,独立完成系统的分析、设计和程序编写,记录开发过程中的问题及解决方法,要有设计过程和原理图,并自行设计满足设计任务的稳压电源。 设计主要指标参数: (1)定时时间1。1S~99S,可调。 (2)定时时间2。1S~99S,可调。 (3)定时时间3。1min~99min,可调。 (4)所有时间数字均可调。 (5)用LED数码管显示剩余时间。 1.2设计意义 近年来随着科技的飞速发展,单片机的应用正不断地走向深入,同时带动传统控制检测的日新月异地更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,根据具体硬件结构以及具体应用对象的特点,与软件相结合,加以完善。单片机之所以在工业控制中有大量的应用,就在于它有独特的定时、计数功能。在工业检测、控制中,许多场合都要用到计数或定时的功能。例如,对外部脉冲进行计数、产生精确的定时时间等。人类最早使用的定时工具是沙漏或水漏,但在钟表诞生发展成熟之后,人们开始尝试使用这种全新的计时工具来改进定时器,达到准确控制时间的目的。 “定时器”总的来说有两种类型。一种是基于模拟技术的传统产品,这种定时器的功能简单,尽管曾经被广泛应用过,但已列入淘汰之列。另一种是基于数字技术的新一代产品,这种产品功能强,是前者的代换之物。 本设计开发了一种基于单片机的多用途定时器。它造价低,功能全,整体功能价格比较高,配以小键盘和LED显示器,可适应各种场合的定时预警之用。 1.3整体设计方案 基于单片机系统的定时器电路包含了如下的功能模块: ●基本的单片机系统 ●单片机的定时中断 ●单片机的外围电路 ●外部按键输入装置 ●数码管LED显示装置 设计要求输出如图1.1所示的时间定时输出,并显示每个时间的剩余时间。其中三个时间有各自的调节范围:T1, 1S~99S; T2,1S~99S; T3, 1min~99min. 1.31 方案一:利用单片机的定时器完成定时要求。

(完整版)电子倒计时定时器设计毕业设计论文

江苏信息职业技术学院 毕业设计(论文) 题目:电子倒计时定时器设计 摘要 随着时代的进步,电子技术的发展,倒计时定时器得到了越来越广泛的应用,给人们日常的生活、学习、工作、娱乐带来便利,电子定时器相比普通的定时器来事具有体积小、重量轻、造价低、精度高等特点。 本设计主要采用51系列单片机,通过硬件电路设计和软件编程设计来实现,硬件主要包括主控模块,时间显示模块,键盘设置模块,报警器模块的设计,软件编程主要采用C语言,虽然程序条数比较多,但是设计起来比较方便,可通过Keils软件进行调试。

此次倒计时定时器采用单片机AT89S51为核心,利用时钟芯片DS1302来显示一天的时间,系统通电后利用数码管自动显示当前时间,通过键盘可以调整时间,分别对时、分、秒进行加减,也可以通过键盘转换成倒计时模式,最大倒计时时间为59分59秒,而且误差很小,当倒计时为零时蜂鸣器进行报警,指示灯变亮,倒计时功能关闭则显示当前时间,操作简单方便。 关键词:时钟芯片;AT89S51;倒计时;DS1302

目录 摘要 (1) 第1章绪论 (5) 1.1课题的学术背景及其实际意义 (5) 1.2相关领域的成果及存在的不足 (5) 1.3课题来源及主要研究内容 (5) 第2章倒计时定时器的结构、原理及设计方案 (7) 2.1 单片机的发展概况 (7) 2.2 51单片机的内部结构 (7) 2.3 设计要求、方案及框图 (9) 2.1.1设计要求 (9) 2.1.2设计方案 (9) 2.1.3设计框图 (10) 第3章硬件电路设计 (11) 3.1ATS89C51单片机介绍 (11) 3.2时钟模块 (13) 3.2.1DS1302简介 (13) 3.2.2 (14) 3.2.3时钟电路设计 (15) 3.2.4时钟复位电路 (15) 3.3键盘模块 (16) 3.4显示模块 (17)

多功能定时器课程设计

摘要 在日常生活照,555定时器的应用非常广泛,我们常常用到定时控制。在早期运用的是模拟电路设计的,它的准确性和精度都不是很理想。然而现在基本上都是运用数字技术。定时器可以控制一些常用电器,也可以构成复杂的工业过程控制系统。它的功能强大,体积小且灵活,配以适当的芯片可以实现许多功能。随着电子技术的飞速发展,家用电器逐渐增多,不同的设备需要实现不同的功能,需要自己的控制器,设计十分不便。根据这种情况,本设计设计了一个多功能定时器,可以对许多电器进行定时。这种具有智能化的产品有效的减轻了人们的劳动,带人们走进智能化的时代,为家庭数字化的实现提供了可能。 关键词:555定时器;多功能;电器

目录 1方案论证 (1) 1.1方案的比较环节 (1) 1.2实验方案 (1) 2原理及技术指标 (2) 2.1实验原理 (2) 2.2实验技术指标 (2) 3单元电路设计及参数计算 (3) 3.1单元电路设计 (3) 3.1.1控制电路 (3) 3.1.2可控脉冲发生电路 (3) 3.1.3延时控制电路 (5) 3.1.4电源电路 (6) 3.2实验的连接与处理 (7) 3.2.1各部件实现功能 (7) 3.2.2实验处理 (8) 4电路图 (9) 4.1电路图 (9) 5设计小结 (10) 5.1个人感悟 (10) 5.2遇到问题及解决途径 (10) 参考文献 (11) 附录 (12)

1方案论证 1.1 方案的比较环节 方案一:通过51单片机进行编程设计一个电路系统 方案二:采用555定时器组成的多谐振荡器产生时钟脉冲。。 方案三:采用晶振产生时钟脉冲。晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作,以提供稳定、精确的单频振荡。 比较分析:三种方案相比较,方案一需要进行编程,而我们无法在短时间内编写好完整的程序,可实现性不强。方案二:555定时器芯片是一种广泛应用的中规模集成电路,只要外围配以几个适当的阻容元件,就可以构成无稳态触发器、单稳态触发器以及双稳态触发器等应用电路,以此为基础可设计各种实用的电路形式。而方案三的晶振的作用是为系统提供基本的时钟信号,但采用晶振需要较多的元器件,并且电路图比较麻烦,而且也不能达到锻炼思考能力、电路分析的目的。 因此,通过比较实用性,合理性,选择方案二。 1.2 实验方案 电源电路采用桥式整流电路从220VAC到5VDC的整流,可控脉冲发生器采用555多谐振荡器产生秒脉冲,延时电路由6级74LS160芯片组成前两级为秒脉冲触发,不参与判断,后四级为分钟脉冲触发,用74LS160控制置位端的A,B,C,D门一个脉冲开关控制此计数器的触发连接74LS21,可通过选通来确定所需要的输出位,当满足条件就会输出一个信号通过继电器的闭合控制用电器开关。

倒计时定时器设计

一、倒计时定时器设计 1、20秒、30分钟到计时计数器 1、1 设计要求: 20s倒计时定时器:倒计时由按钮启动,计时精度0.1s,在数码管中显示倒计时值。 30分钟倒计时定时器:倒计时由按钮启动,计时精度1s,在数码管中显示倒计时值。 1、2设计的作用目的: 此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。 1、3问题分析: 在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今的人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的,如何能做到智能化呢?单片机的引入就是一个很好的例子。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目

基于555定时器闪光电路设计及制作

基于555定时器闪光电路设计与制作 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 本文介绍555定时器的结构、引脚功能以及构成单稳态触发器、多谐振荡器、施密特触发器等电路,进一步掌握集成电路的使用方法,并利用多谐振荡器产生的脉冲信号控制二个发光二极管实现闪光电路。 一、基于555定时器闪光电路功能介绍 每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 本制作套件就是利用555定时器设计的多谐振荡器,进而构成闪光电路,如图1所示。 图1 基于555定时器闪光电路成品图

二、基于555定时器闪光电路原理图 图2 基于555定时器闪光电路原理图 三、基于555定时器闪光电路工作原理 1、可调电阻的特性及用法 可调电阻也叫可变电阻,是电阻的一类,其电阻值的大小可以人为调节,以满足电路的需要。可以逐渐地改变和它串联的用电器中的电流,也可以逐渐地改变和它串联的用电器的电压,还可以起到保护用电器的作用。

图3 可调电阻100K可调范围 电位器是可调电阻的一种,通常是由电阻体与转动或滑动系统组成,即靠一个动触点在电阻体上移动,获得部分电压输出。 电位器的电阻体有两个固定端,通过手动调节转轴或滑柄,改变动触点在电阻体上的位置,则改变了动触点与任一个固定端之间的电阻值,从而改变了电压与电流的大小。

定时器电子课程设计解析

摘要 (2) 1. Multisim软件的简介 (3) 2. 系统设计总体方案 (3) 2.1 设计基本思路 (3) 2.2 设计总流程图 (4) 3. 555定时器,CD4518和CD4011介绍 (4) 3.1 555定时器 (4) 3.2 CD4518 (6) 3.3 CD4011引脚图 (7) 4. 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块电路图 (9) 4.1 数字逻辑控制模块 (9) 4.1.1 数字逻辑控制模块电路图 (9) 4.1.2 数字逻辑控制模块原理 (9) 4.2 脉冲信号产生模块 (10) 4.2.1 脉冲信号产生模块电路图 (10) 4.2.2 冲信号产生模块原理 (11) 4.3 计数器计数模块 (12) 4.3.1 计数器计数电路图 (12) 4.3.2 计数器计数模块原理 (12) 4.4 显示器模块 (13) 5. 电路的总体设计与调试 (14) 5.1 总体电路原理图 (14) 5.2 总电路工作原理 (14) 6. 课程设计收获与体会 (15) 7. 参考文献 (15)

本次课程设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计 时器功能,计时器显示0~99计数,在实际生活中应用很广。根据日常生活中观察,数字式计时器设计成型后供扩展的方面很多,例如自动报警、按时自动打铃等。因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。目前,数字计数器的功能越来越强,并且有多种专门的大规模集成电路可供选择。但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。数字计数器包括组合逻辑电路和时序电路。

定时器产品使用说明书

定时器产品使用说明书 定时设置: 1、先检查时钟是否与当前时间一致,如需重新校准,在按住“时钟”键的同时,分别按住“星期”、“小时”、“分钟”键,将时钟调到当前准确时间。 2、按一下“设定”键,显示屏左下方出现“1开”字样(表示第一次开启的时间)。然后按“星期”调整本次设定的星期组合模式,再按“小时”、“分钟”键,输入所需开启的时间。 3、再按一下“设定”键,显示屏左下方出现“1关”字样(表示第一次关闭时间),再按“星期”、“小时”、“分钟”键,输入所需关闭的日期和时间。 4、继续按动“设定”键,显示屏左下方将依次显示“2开、2关、3开、3关……16开、16关”,参考步骤2、3设置以后各次开关时间。设置完成后,按一下“时钟”键返回。 5、如果每天不需设置16组开关,则必须按“清除”键,将多余各组消除,使其显示屏上显示“—:—”图样(不是00:00)。 6、按“模式”键,可以变换工作模式。总共有四种工作模式:A、液晶显示开(代表进入常开模式);B、液晶显示关(代表进入常关模式);C、由开进入自动(表示目前状态为开,等到下一组时间到后开始自动运行);D、由关进入自动(表示目前状态为关,等到下一组定时时间到后开始自动运行)。 当出现以下情况时: 1、定时器没有根据设定的程序开启或关闭,请检查设置程序是否正确或重新调整。 2、定时器长时间不用,显示模糊时,请将定时器接通电源充足,10分钟后无显示,按“复位”键,2-3秒。 3、如以上步骤均不能排除问题,请与公司或经销商联系维修。 注意事项: 1、对于那些因定时开关出错而可能发生的生命相关事故或者对社会产生重大影响的设备(如医疗设备等),请不要使用定时开关。 2、对于那些因定时开关出错而发生重大财产损失的设备(大型加热器或冷库),在使用本定时开关时,请务必是特性和性能的数值有足够的余量,并采取二重电路等安全对策。 3、请勿自行修理、分解或改造。 4、接通电源后请勿接触端子部分。本开关工作在无潮湿、腐蚀及高金属含量气体环境中。请勿沾染油或水。

课程设计-单片机定时器的设计

摘要 单片机是一种集成在电路芯片,是采用超大范畴集成电路技能把具有数据处理本事的中心处理器CPU 随机存储器RAM、只读存储器ROM、多种I/O 口和间断系统、定时器/计时器等成果(大要还包括表现驱动电路、脉宽调制电路、模拟多路转换器、A/D 转换器等电路)集成到一块硅片上构成的一个小而完竣的计较机系统。单片机具有特点具有良好的性能价格比;低电压、低功耗;集成度高、体积小、可靠性高;控制成果强等优点。 计算机暂时中止正在执行的主程序,转去执行中断服务程序,并在中断服务程序执行完了之后能自动回到原主程序处继续执行,这个过程叫做“中断”。中断需要解决两个主要问题:一是如何从主程序转到中断服务程序;二是如何从中断服务程序返回主程序。 关键词:单片机,中断,延时

目录 1.设计目的 (1) 2.设计任务的内容和要求 (1) 3.设计原理 (1) 4.程序说明 (3) 5.心得体会 (6)

定时器试验 1.设计目的 (1)熟练运用汇编语言编程,并且掌握键盘查表来运行相应的功能 (2)熟悉启东硬件仿真系统,熟练应用该系统调试软件 (3)熟悉单片机应用系统的组成,并能运用程序控制外部流水灯 2.设计任务的内容和要求 (1)初始化定时器,使之采用定时器0,方式2,定时100us时间 (2)通过设置中断,产生总时间为1秒 (3)1秒时间到,控制发光二极管点亮 3.设计原理 在实际的控制系统中常要求有外部实时时钟,以实现定时或延时控制;还要求有外部计数器,以实现对外界事件进行计数。 MCS-51单片机由两个可编程定时/计数器(以下简称T/C)。T0,T1 T/C的核心是1个加1计数器,它的输入脉冲有两个来源:一个是外部脉冲源,另一个是系统机器周期(时钟振荡器经12分频以后的脉冲信号)。T0,T1是2 个16位寄存器。加1到满溢出产生中断 T0(TH0,TL0);8CH,8AH地址不连续 T1(TH1,TL1);8DH,8BH 都具有定时或者计数功能。 图一 图一有2个模拟的位开关,前者决定了T/C的工作状态:当开关处于上方时为定时状态,处于下方时为计数状态。工作状态的选择由特殊功能寄存器TMOD的C/T位来决定。C/T=0表示定时,C/T=1表示计数。 当T/C处于定时方式时,加1计数器在每个机器周期加1,因此,也可以把它看作在累计机器周期。由于一个机器周期包含12个振荡周期,所以它的计数速率是振荡频率的1/12。 如果主频12M,机器周期为1us,每1us定时寄存器完成1次加1操作。一旦振荡周期确定,机

定时器的设计论文

课程设计 题目定时器的设计 学生姓名黄冬冬学号1110064069所在院(系)物电学院 专业班级电信1103班 指导教师刘亚锋 完成地点陕西理工学院实验楼506 2013年10月15日

目录 摘要 (1) 引言 (1) 1、任务要求 (2) 2、所需实验设备、器材和软件 (2) 2.1所需实验设备 (2) 2.2所需实验器材 (3) 2.3所需实验软件 (4) 3、方案选择 (4) 3.1方案一 (4) 3.2方案二 (4) 3.3方案三 (5) 3.4方案对比与选择 (6) 4、流程图 (6) 5、仿真与测试 (7) 5.1仿真图形 (7) 5.2测试结果 (7) 5.3出现的问题及解决方法 (8) 5.4总结 (8)

6不足之处 (8) 参考文献 (9) 定时器的设计 摘要:本设计利用555时基集成电路构成的定时开关电路,采用纯数字电路的知识,用纯逻辑电路来实现定时的实现,用NE555作为控制芯片,加入了比较器C2的同相输入端接到分压电阻网络的1/3Vcc处,反相输入端2为触发电压输入端,用来启动电路。两个比较器的输出端控制RS触发器,实现了定时器的设计。 【关键字】555继电器稳态 引言 定时器,是指装有时段或时刻控制机构的开关装置;定时器有一个频率稳定的振荡源,通过齿轮传动或集成电路分频计数,当将时间累加到预置数值时,或指示到预置的时刻处,定时器即发送信号控制执行机构。定时器有机械式、电动式和电子式三类,其中机械式定时器以发条为原动力,用擒纵调速器控制走时精度,通过齿轮传动和凸轮,按时间控制机构预置的时段操纵执行机构动作;电动式定时器用交流同步电动机或石英步进电机驱动,通过齿轮传动和凸轮簧片触点机构,按预置的时段或时刻控制执行机构;电子式定时

单片机定时器设计

摘要 摘要 随着时代的进步,电子行业的发展,定时器的应用也越来越广泛,单片机以其强大的功能,成为许多功能电子产品的首选。本次电子定时器电路根据设计要求采用AT89C51单片机来实现最大99秒倒计时,采用两位数码管显示。文章的核心主要是硬件介绍及连接和软件编程两个大的方面。硬件电路主要包括AT89C51、晶振电路、数码管,发光二级管,按键。软件用汇编语言实现,主要包括主程序、倒计时、重启控制程序等软件模块。采用软硬件配合基本能实现设定定时时间倒计时功能,达到了设计的要求和目的。并在Proteus软件上进行了仿真和调试。 关键词 AT89C51单片机;定时器;倒计时

目录 摘要…………………………………………………………………………………………… 第一章绪论......................................................... 1.1定时器的发展................................................. 1.2 电子定时器的应用............................................... 1.3选题的目的和意义................................................ 1.4 本章小结 第二章单片机的基础知识 (3) 2.1单片机简介 (3) 2.2单片机的特点 (3) 2.3 本章小节 第三章功能实现及硬件介绍 (4) 3.1 设计功能实现 (4) 3.2 C51单片机引脚介绍 (9) 3.3时钟和复位电路 3.4数码管显示 (10) 3.5键盘 (12) 3.6电气原理图……………………………………………………… 3.7本章小结 第四章软件设计 (15) 4.1 程序流程图 (15) 4.2定时1秒设计 (16) 4.3重新启动 (17) 4.4程序 (17) 4.5 本章小结 结论................................................................ 参考文献............................................................ 致谢.........................................................................

三位数显示计时器定时器数电课程设计

文档大全 沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

文档大全 沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

文档大全 成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性( 15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2016年 12月 31日

文档大全 一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED 进行报警最后使用显示译码器显示计数。所以一共有四部分组成。 图1 总体电路的原理框图 方案二: 方案二采用单片机编程进行设计实现计时器或者定时器的设计。

基于单片机的定时器设计.

电气信息学院 课程设计任务书 课题名称基于单片机的定时器设计 姓名Front专业班级学号 校内指导老师企业指导老师 课程设计时间2017年3月6日-2017年3月17日(3、4周) 教研室意见意见:审核人: 一、设计任务及要求 1、设计任务: 以单片机为核心设计一个音乐提示定时器,具备倒数计时、时间修改、音乐演奏等功能。可作为微电脑倒数计数器,做一小段时间计时,放在家中使用,例如煮泡面、煮开水或小睡片刻等;用于全自动洗衣机洗涤完毕音乐提示定时器。设计4个按键设置现在想要倒数的时间:K1--可调整倒数时间为1-60分钟;K2—设置倒数计时时间为5分钟,显示为“05”;K3—设置倒数计时时间为20分钟,显示为“20”;K4—设置倒数计时时间为60分钟,显示为“60”;一旦按键后则开始倒计时,当计时为0则演奏一曲音乐;内定倒数计时时间为5分钟,显示为05。 2、设计要求: 1)设计方案要合理、正确; 2)系统硬件设计; 3)系统软件设计及调试; 4)系统联调; 5)写出设计报告。 二、进度安排 第一周: 周一:集中布置课程设计任务和相关事宜,查资料确定系统总体方案。 周二~周三:完成硬件设计和电路连接 周四~周日:完成软件设计 第二周: 周一~周三:程序调试 周四~周五:设计报告撰写。周五进行答辩和设计结果检查。

三、参考文献 1)《微型计算机原理及应用》许立梓编机械工业出版社 2002 2)《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000 3)《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000 4)《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版社2000 5)《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001 6)《微型计算机接口技术》邓亚平编清华大学出版社 2001 7)《单片机原理及及应用》王迎旭编机械工业出版社 2001 8)《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002 9)《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

定时器电路

时分秒可校的定时器电路 设计报告 摘要 本设计的目的是设计一时分秒可校的定时器电路,该电路由数据预置部分对核心部分定时器模块进行时间预置,输出接至显示模块并

通过LED数码管显示时分秒信息,定时时间到通过声光报警模块进行报警。设计采用可编程芯片和VHDL语言进行软硬件设计,不但可使硬件大为简化,而且稳定性也有明显提高。本设计采用逐位设定预置时间,其最长时间设定可长达24小时59分59秒,并由六个共阴数码管进行时分秒的显示,定时时间到喇叭发出声响,同时两个LED灯亮。关键字: VHDL语言定时器显示报警 目录 一、系统设计 (4) 二、单元电路设计

(4) 三、软件设计 (6) 四、系统测试 (7) 五、结论 (8) 六、参考文献 (9) 七、附录 (9) 一、系统设计 1、设计要求 时分秒可校的定时器,定时范围为10秒—24时59分59秒,精度为1秒,能同时显示时分秒信息(LED数码管),定时时间到能发出声

光警告信号。 2、系统设计方案 总体框图如图所示: 图中定时模块由2个59进制、1个24进制的减计数器连接,实现定时器递减到零的倒计时功能;输出由七段数码显示译码器驱动数码管显示;报警模块由输出系列检测实现喇叭和LED 灯的时间报警;时间预置由六个输入端口分别对时分秒进行预置。 二、单元电路设计 1、倒计时部分(以秒为例):该部分是整个电路的核心,clk 为时钟信号,当时钟上升沿到来,倒计时开始,cn 为使能端,高电平有效,res 为复位端,用来清零,采用异步复位方式,s1、s2端为别为十位、个位数据预置端;count 为数据溢出端,高电平有效,dlow 、high 为四位BCD 码输出端口,用于显示及报警。 当cn 有效时,clk 脉冲上升沿到来时,开始倒计时,每60秒为一个周期,溢出端count 输出一信号使分计数减1,直到计时完成。

公牛牌电子式定时器说明书

型号:GN-D-1 20时间段循环周期7天 规格:10A 250V 电子式定时器 本产品可以在无人值守的情况下,自动周期性通断电源,控制电器设备的运行,可起到节能环保,降低成本,延长电器寿命的作用. 使用范围1:在实行峰谷用电价差的地区,可使大功率电器在低价时段自动运行. 型号:GN-D-1 20时间段循环周期7天 规格:10A 250V 电子式定时器 本产品可以在无人值守的情况下,自动周期性通断电源,控制电器设备的运行,可起到节能环保,降低成本,延长电器寿命的作用. 使用范围1:在实行峰谷用电价差的地区,可使大功率电器在低价时段自动运行. 2用于控制需要定时开,关的电器.比如热水器,空调,饮水机,电饭煲,广告照明灯等. 3:用于控制通电时间长短.比如电动自行车电池,手机电池,蓄电池的充电. 4:需频繁通断的用电场合.比如花圃,草坪的间歇喷灌,鱼缸的周期性增氧,过滤等 键面分布:时钟键,设定键,模式键,清除键,星期键,小时键,分钟键,复位键, 二.初始设置 1.设置时间显示模式. (1)同时按住时间键和星期键可设定星期. 变化为:MO-TU-WE-TH-FR-SA-SU 按住不放,星期连续变化4次/秒. (2)同时按住时钟键和小时键可设定小时. 按住不放,小时连续变化4次/秒. (3)同时按住时钟键和分钟键可设定分钟. 按住不放,分钟连续变化4次/秒. (4)按住时钟键3秒,时钟显示增加1小时(夏令时显示),此时,显示屏上有"+1H"显示,再按住3秒恢复正常时间显示,"+1H"标志消失(夏令时取消) 2.当前时间校准. (1)按住时间键不动;

(2)同时再按小时键即可调整时间小时显示,点按每次增加一小时,长按可进行快速调整; (3)采用同样的方式可调整分钟和星期的显示 3控制模式切换 在时钟状态下连续按模式键,液晶显示屏的左边将循环显示AUTO OFF(自动关),ON(手动开),AUTO ON(自动开),OFF(手动关). 三.定时开、关的设置 1.按设定键,进入第1个时间段的通电时间设定 闹钟:若二十秒内没有进行任何操作,定时器会自动恢复到时间校准状态。此时如需要设定开关时间,必须重新设定键。(1)设置定时开通的时间:分别点按小时键、分钟键可设置开始通电的时间。闹钟:长按小时键、分钟键可进行快速调整。 (2)设置循环模式:本产品的定时开、关以一星期为周期进行循环,共有下列15种模式可以选择,液晶显示: 模式1:MO(表示每周一) 模式2:TU(表示每周二) 模式3:WE(表示每周三) 模式4:TH(表示每周四) 模式5:FR(表示每周五 模式6:SA(表示每周六) 模式7:SU(表示每周日) 模式8:MO,TU,WE,TH,FR,(表示每周一,二,三,四,五) 模式9:SA,SU(表示每周六,日) 模式10:MO,TU,WE ,TH,FR,SA(表示每周一,二,三,四,五,六) 模式11:MO,WE,FR(表示每周一,三,五) 模式12:TU,TH,SA(表示每周二,四,六)

定时器_课程设计

二○一四~二○一五学年第一学期 西安理工大学 高科学院 课程设计报告书 课程名称:微机原理课程设计 班级: 学号: 姓名: 指导教师:

二○一四年十二月二十日 课程设计任务书 2014 年秋季学期

目录 第1章方案论证 1.1 课程设计的目的和要求 (1) 1.2 总体设计 (1)

第2章硬件设计 (2) 2.1 AT89S51芯片概述 (2) 2.2 LED数码管显示器概述 (5) 2.3 其他元器件介绍及参数选择 (7) 第3章软件设计 (8) 3.1 程序框图 (8) 3.2 定时/计数器初值计算 (8) 3.3 软件程序…………………………………………………………………………………………………………………………………………………9第4章调试与仿真 4.1 Keil软件介绍及使用 (10) 4.2 Proteus软件介绍及使用 (10) 课程设计心得体会 (11) 参考文献 (11) 第一章方案论证 1.1课程设计的目的和要求 1.目的

课程设计是微机原理课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握、应用得的专门性实践类课程。通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。2.要求 利用T0产生1秒的定时,当1秒定时时间到,秒计时器价1。秒计时到60时,自动从0开始。 3. 目标 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法。通过做一个综合性训练题目,达到对内容的消化、理解并提高解决问题的能力的目的。 1.2 总体设计 本设计由硬件设计和软件设计两部分组成,总电路如图1所示,硬件设计主要包括单片机芯片选择,数码管选择及晶振,电容,电阻等元器件的选择及其参数的确定;软件设计主要是实现60秒计数程序的编写,包括利用中断实现1秒的定时及60秒的计数。 图1:60秒计数总体电路设计

单片机定时器设计报告

第一章绪论 1.1系统背景 ◆ 1.1.1单片机的介绍 单片微型计算机简称单片机,是典型的嵌入式微控制器,常用英文字母的缩写MCU表示单片机,它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机是靠程序运行的,并且可以修改。通过不同的程序实现不同的功能,尤其是特殊的独特的一些功能,这是别的器件需要费很大力气才能做到的,有些则是花大力气也很难做到的。一个不是很复杂的功能要是用美国50年代开发的74系列,或者60年代的CD4000系列这些纯硬件来搞定的话,电路一定是一块大PCB板!但是如果要是用美国70年代成功投放市场的系列单片机,结果就会有天壤之别!只因为单片机的通过你编写的程序可以实现高智能,高效率,以及高可靠性! ◆ 1.1.2单片机的应用 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说

定时器工作原理

定时器工作原理 通电延时型。只要在定时的时间段内(即1分钟)定时器一直得电,则常开触电就会闭合,只要定时器不断电常开触电就会一直闭合。定时器断电则常开触电断开 1,定时器/计数器的结构与功能 主要介绍定时器0(T0)和定时器1(T1)的结构与功能。图6.1是定时器/计数器的结构框图。由图可知,定时器/计数器由定时器0、定时器1、定时器方式寄存器TMOD和定时器控制寄存器TCON组成。 定时器0,定时器1是16位加法计数器,分别由两个8位专用寄存器组成:定时器0由TH0和TL0组成,定时器1由TH1和TL1组成。 图6.1 定时器/计数器结构框图 TL0、TL1、TH0、TH1的访问地址依次为8AH~8DH,每个寄存器均可单独访问。定时器0或定时器1用作计数器时,对芯片引脚T0(P3.4)或T1(P3.5)上输入的脉冲计数,每输入一个脉冲,加法计数器加1;其用作定时器时,对内部机器周期脉冲计数,由于机器周期是定值,故计数值确定时,时间也随之确定。 TMOD、TCON与定时器0、定时器1间通过内部总线及逻辑电路连接,TMOD 用于设置定时器的工作方式,TCON用于控制定时器的启动与停止。 6.1.1 计数功能 计数方式时,T的功能是计来自T0(P3.4)T1(P3.5)的外部脉冲信号的个数。 输入脉冲由1变0的下降沿时,计数器的值增加1直到回零产生溢出中断,表示计数已达预期个数。外部输入信号的下降沿将触发计数,识别一个从“1”到“0”的跳变需2个机器周期,所以,对外部输入信号最高的计数速率是晶振频率的1/24。若晶振频率为6MHz,则计数脉冲频率应低于1/4MHz。当计数器满后,再来一个计数脉冲,计数器全部回0,这就是溢出。 脉冲的计数长度与计数器预先装入的初值有关。初值越大,计数长度越小;初值越小,计数长度越大。最大计数长度为65536(216)个脉冲(初值为0)。 6.1.2 定时方式 定时方式时,T记录单片机内部振荡器输出的脉冲(机器周期信号)个数。 每一个机器周期使T0或T1的计数器增加1,直至计满回零自动产生溢出中断请求。 定时器的定时时间不仅与定时器的初值有关,而且还与系统的时钟频率有关。在机器周期一定的情况下,初值越大,定时时间越短;初值越小,定时时间越长。最长的定时时间为65536(216)个机器周期(初值为0)。

课程设计--篮球24秒定时器的制作

随着电子技术的飞速发展,电子技术在社会生活中发挥越来越重要的作用,特别是各种竞技运动中,定时器成为检验运动员成绩的重要工具。 篮球是一项大众化的运动,现实生活中的比赛有很多规则,正规比赛中我们知道,在一次进攻中,一方队员只有24秒的进攻时间,超过这个时间则表示一次违例。本课程设计题目紧密联系生活实际,用简单的数字逻辑电路实现24秒减数计时器,每隔一秒计数一次直到减到零并发生光报警,计数器有置数功能,最初置数为24,并且有清零功能和暂停功能,使设计电路具有很好的实用价值; 计时器主要是由即使电路、控制电路、以及译码显示电路3个部分组成。电路结构简单,功能方便、快捷。 篮球24秒定时器的制作 结构设计与方案选择 本设计是以555构成震荡电路,由74LS192来充当计数器,构成NBA24秒倒计时电路。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动和暂停/连续计时,还具有报警功能。 一、设计原理与电路 原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显

示与灭灯、定时时间到报警等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 二、电路 方案中采用两片192芯片,能实现暂停、清零、置数的功能。结构比较简单,开关3为清零控制端,开关2为置数端,开关1为暂停/继续开关。秒脉冲由555定时器构成。 它的工作原理是:只有当低位BO1端发出借位脉冲时,高位计数器才做减数器。当高低位全部变为零时,且CPD为0时置数端LD2=0,计数器完成置数,在CPD脉冲信号的作用下,计数器再次进入下一轮减计数。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,电路采用555集成电路或由TTL与非门组成的多谐振荡器的构成。 译码显示电路用74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管代替。 三、单元电路的设计 1、进制计数器的设计 计数器选用集成电路74LS192是十进制可编码同步加法计数器,它有可逆计数功能,CPU、CPD分别是加计数器、减计数器的时钟脉冲输入端(上升沿触发)其中RD是异步清零端,高电平有效,PL是异步并行置数控制端(低电平有效)预置数据输入端包括P0,P1,P2,P3,其中最高端为P3。TCU为加法计数的进位输出端,TCD是减法计数的借位输出端,MR是并行数据输入端,Q3-Q0是输出端。 计数器选用中规模继承74LS192进行设计较为简便,74LS192是十进制可编程同步加/减计数功能。下图是74LS192外引线排列图与功能表:

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2V CC/3,低电平必须小于V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图[动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形

相关文档
最新文档