(完整版)数字电路试题汇总

(完整版)数字电路试题汇总
(完整版)数字电路试题汇总

逻辑门电路(158)

一、填空题3.1

4.3.1.

1.与门是反向逻辑门。 (× )

2.或非门是反向逻辑门。 (

√ )

3.当一个逻辑门被禁止时,它被激活,允许将一个信号传到输出端。(× )

4.当一个二输入与门被一个输入端的逻辑0信号禁止时,它的另一个输入端将成为无关输入。( √ )

5.逻辑门对与门而言是一个 禁止信号

6.逻辑门对与门而言是一个 使能信号

7.对于一个二输入或非门而言,如果A =0,B =1,则输出电平应该是输入 逻辑0

8.数字电路中的三极管在( )区只是一种过渡状态。

放大区

9.影响二极管开关速度的主要因素是由于( )时间的存在。 P N 结内部结电容

10.正逻辑或门可以是负逻辑( )门电路。 与

11.在数字电路中,晶体三极管工作在( )状态。 开关

12.正逻辑的约定是高电平为0,低电平为1 。 (× ) 13.用双极性三极管组成开关电路其饱和工作状态的条件是( A )。 A.β/I I CS B > B.V V BE 6.0=

C.I I

C B

<

D.

I I

B

ceo ≤

14.在数字电路中,高电平代表逻辑“1”状态,低电平代表逻辑“0”状态。 (×)

15.与条件语句中至少需要( )个条件。2

16.或条件语句中至少需要()个条件。2

17.4输入与门有()种可能的输入状态组合。16

18.对于5输入与门,其真值表有()行。32

19.与门使用矩形符号表示时,其标志符是()。&

20.对于4输入或门而言,有()种可能的输入状态组合。16

21.5输入或门的真值表有()列。5

22.或门使用矩形符号表示时,其标志符是()。≥1

23.非门有()个输入。1

24.非门使用矩形符号表示时,其标志符是()。1

25.与逻辑门相关的两种错误是()。开路或短路

26.I C中常见的内部错误是()。开路

27.如果向与非门输入数字波形,则什么情况下输出为低电平?

答案:当所有输入都是高电平时,与非门输出为低电平。

28.对于5输入的与非门,有()种可能的输入状态组合。32

29.对于4输入与非门,其真值表有()列。5

30.对于8输入与非门,所有可能的输入状态组合有()组输入状态能够输

出低电平。一组

31.什么情况下或非门的输出为逻辑低电平?

答案:只要有一个或多个输入为高电平,则或非门输出为低电平

32 .对于4输入的或非门,有()种可能的输入状态组合。16

33.对于5输入与或非门,其真值表有()行。32

34.如果向或非门输入数字波形,则什么情况下输出为低电平?

答案:任有一个输入波形为高电平时,或非门输出为低电平。

35.或门和非门应该如何连接才能搭建出或非门?

答案:把或非门的输出端接到非门的输入端

36.如何正确连接未使用的与非门输入?

答案:通过上拉电阻将未使用的与非门输入接到V C C.

37.如何正确连接未使用的或非门输入?

答案:将未使用的或非门输入接到地。

38.什么是“上拉”电阻?

答案:上拉电阻是将某点与V C C连接起来的电阻,它使得该点为高电平。

39.I C门中较常见的是()。开路

40.T T L或非门和或门相比,两者开路输入导致的输出不同。(√)

41.与非门输入短路到地时,会对其输出产生何种影响?

答案与非门输入短路到地时,输出总是高电平。

42.或非门输入短路到地时,会对其输出产生何种影响?

答案:输出取决于其它输入。

43.或非门输入直接连接到V CC时,会对其输出产生何种影响?

答案:输出总是低电平。

44.满足()时,与非门输出为低电平。所有输入都是高电平

45.满足(D)时,或非门输出为低电平。

A.一个输入为高电平

B.所有输入都是低电平

C.多于一个输入的是高电平

D.A和C都对

46.执行求补运算的逻辑电路是(D)。

A.与非门

B.或非门

C.反相器

D.以上答案都正确

47.什么情况下异或门的输出为1?

当两个输入不同时,异或门输出为 1.

48.在逻辑运算规则上,异或门和或门有什么区别?

当两个输入为1时,异或门输出为0.

49.异或门矩形符号中的标准标志符为“=1”,说明其意义?

“=1”表示异或运算需要且只需要一个输入为1,才能使输出为 1.

50.当异或门的两个输入门都是1(高电平)时,可以判决其输出的唯一状态(√)。

51.如何将异或门用作反相器?

将其一个输入端接高电平,另一个输入端接反相的信号。

52.什么情况下异或非门的输出为低电平?

当两个输入不同时,异或非门输出为0.

53.在逻辑运算规则上,异或非门和或非门有什么区别?

当两个输入为1时,异或非门输出为 1.

54.异或非门矩形符号的标准标志为小三角形,说明其意义。

小三角形表示输出断言状态为0.

55.当异或非门的两个输入门都是高电平时,可以判决其输出的唯一状态(×)。 56.如何将异或非门用作反相器?

将其一个输入端接低电平,另一个输入端接反相的信号。 57.与或电路中有()个或门。1

58.与或电路中有()个与门。任意多个。

59.对于两个2输入与门构成的与或逻辑,其输入为A =1,B =0,C =1,D =1,求其输出?

与或输出为

60.逻辑门的功耗取决于什么?

功耗取决于直流电源电压和直流供电电流。 61.异或门的等效逻辑电路包含( B )。

A.两个或门、一个与门和两个非门

B.两个与门、一个或门和两个非门

C.两个与门和一个或门

D.两个或门和一个与门

62.异或运算对应的逻辑符号是( )。⊕

63.T T L 器件中应用了( )类型的晶体管。双极型 64.标准T T L 门输出端可线与。(× )

65.哪种类型的数字器件特点为中到高的速度、低成本和良好的驱动能力。()T T L 66.74L S 00中的L S 指什么? 3.2

1.使用C M O S 门时,多余的输入端不能( )。悬空

2.如果C M O S 反相器的电源电压是V

DD

,则反相器的门槛电压为( )。

2/VDD 3.

C M O S

C D 4011的部分静态参数为:

V V

IHMIN

4=,V V V V V OLMAX OHMIN ILMAX 05.0,95.4,1===,试求该与门的噪声容限。

解答:根据噪声容限的定义,易得出输入高电平噪声容限

,

95.0495.4=-=-=V V V IHMIN OHMIN NH 输

V V V V V

V OLMAX ILMAX

NL

95.005.01=-=-=。

4.C M O S反相器的关门电平提高时,将使其低电平的噪声容限()。提高

5.C M O S器件的主要优点是()。静态功耗极小

6.C M O S传输门不但可以传送数字信号,还可以传送()信号。连续变化的模拟信号

7.一般C M O S门的输出端()直接相连,实现线与。不能

8.C M O S器件中应用了()类型的晶体管。单极性

9.驱动门和负载门之间总是要用到专门的接口电路。(×)

10.当输出为低(V OL)时,逻辑门()电流。灌入

11.若扇出(低或高)过载,则逻辑门无法正常工作。(√)

12.哪种类型的数字器件具有高集成度和低功耗的特点。C M O S

13.肖特基T T L器件可比标准T T L器件工作于更高的时钟速度。(√)

14.某些C M O S集成电路和T T L兼容。(√)

15.标准的习惯电流方向总是指向门的输出或输入引脚。(√)

16.符号V IH表示(C)

A.门的高电平输出电压

B.门的低电平输出电压

C.门的高电平输入电压

D.门的低电平输入电压

17.一个逻辑门输出能够可靠驱动的最大输入数称为(C)

A.噪声免疫力

B.噪声容限

C.扇出

D.扇入

18.定义三态。

答案:集成电路输出端应用三态:逻辑0、逻辑1、高阻态

19.定义总线争用。

答案:总线同时允许多个器件工作时引起的总线无效状态。

20.说明数字系统中总线收发器的用途。

允许在两个数据总线之间实现异步双向传输的器件。

3.3

1.T T L逻辑门的逻辑0的典型电压范围限制是(B)。

A.0~0.2V

B.0~2V

C.0~0.4V

D.0~5V

2.与M O S逻辑系列相比,T T L逻辑系列相比的主要优点之一是(A)。

A.高速

B.高集成度

C.低功耗

D.高稳定性

3.与T T L逻辑系列相比,M O S逻辑系列相比的主要优点之一是(B)。

A.高速

B.高集成度

C.低功耗

D.高稳定性

4.悬空输入(D)。

A.将使能逻辑门

B.将禁止逻辑门

C.使T T L逻辑门动作将其作为低电平输入来动作

D.使T T L逻辑门动作将其作为高电平输入来动作

5.或门上的未输入引脚可以通过一个上拉电阻固定在高电平上,以使能该逻辑门(×)。

6.与非门上的未输入引脚可以通过一个上拉电阻固定在高电平上,以使能该逻辑门(√)。

7.下面哪一种测试仪器最适合将逻辑脉冲注入到电路中(B)。

A.逻辑探针

B.逻辑脉冲发生器

C.扩展接线柱

D.测试监视器接线柱

8.下面哪一种测试仪器最适合用于检测电路中的逻辑电平、脉冲信号和失效的导线(A)。

A.逻辑探针

B.逻辑脉冲发生器

C.扩展接线柱

D.测试监视器接线柱

9.造成图 3.64所示电路故障的原因可能是(注意标出的逻辑电平,它们是从实际电路中测出的)(D)。

A.逻辑门1A的引脚2在内部被短路到地

B.逻辑门1A的引脚2在内部被短路到V CC

C.逻辑门1A的引脚1在内部被断开

D.逻辑门2的引脚2在内部被断开

10.造成图 3.64所示电路故障的原因可能是(注意标出的逻辑电平)(C)。

A.逻辑门1B的引脚4被短路到地

B.逻辑门2的引脚3被短路到地

C.逻辑门2的引脚3被短路到V CC

D.逻辑门1A的引脚1处于悬空状态

11.C M O S电路的静态功耗比T T L电路的静态功耗()。小

12.提高阈值的目的是()。为了提高低电平噪声容限。

13.若将一个T T L 异或门(输入端为A 、B )当作反相器使用,则A 、B 端应(A )连接。

A.A 或B 中有一个接1

B.A 或B 中有一个接0

C.A 和B 并联使用

D.不能实现

14.已知某T T L 门电路的部分参数为:最高输出高电平V V OH 7.2min

=,最大输出低

电平

V V

OL 5.0max

=,开门电平V V V IH ON 2min

=)(,关门电平V V V IH OH 8.0)(max

=,则其高

电平噪声容限

=V

NH

()。 0.7V

15.T T L 与非门为拉电流负载时,其输入为 电平。低

16.T T L 门电路采用推拉式输出结构,其主要优点是 。有效地降低了输出级的静态功耗,并提高了驱动负载的能力。

17.对于T T L 与非门,下列说法属于逻辑“0”的是(A )。 A.输入端接0.8V 的电源 B.输入端悬空

C.输入端通过10K 电阻接地

D.输入端接同类与非门输出高的电平 18.某T T L 与非门的输入高低电平的额定值分别为3V 和0.3V ,已知高低电平的噪声容限为 1.2V 和0.8V 。则其开门电平

U

ON

为 。 1.8V

19.T T L 门电路已经处于满负载运行的连接,如果此时输出端再多接一个10K 电阻接地,电平的影响将是 。使输出高电平降低

20.T T L 与非门的灌电流负载发生在输出 电平情况下,负载电路越大,则输出电平越低。低电平

21.找出下列描述中正确的结论是(A )。

A.C M O S 门电路的静态功耗是0

B.多个O C 门不可以实现线与链接

C.T T L 门电路的电源电压可取6V

D.最小项是包含变量最少的项 22..T T L 门电路输出高电平

U

OH

及输出低电平

U

OL

的典型值是(C )。

A.5V 和 1.4V

B.3.6V 和0.35V

C.3.6V 和0.8V

D.5V 和0.8V 23.已知

T T L

与非门的四个参数:

,,,,U U U U

IHMIN OHMIN ILMAX OLMAX

则差值

U U

OLMAX ILMAX

-称为 , U U IHMIN OHMIN -称为 。

输入低电平噪声容限

V

NL

输入高电平噪声容限

V

NH

24. 用标准T T L 门电路直接驱动C M O S 门电路时,不能满足正常工作条件的是

( A )。

A.V V IHMINN OHMIN

≥ B.V V ILMAX OLMAX ≤

C.I I

IHMAX IHMAX

≥ D.I I

ILMAX OLMAX

25. 25.用标准T T L 门电路直接驱动C M O S 门电路时,不能满足正常工作条件的是

( D )。 A. V V

IHMINN OHMIN

≥ B.V V ILMAX OLMAX ≤

C.I I

IHMAX IHMAX

≥ D.I I

ILMAX OLMAX

26.对T T L 门电路要实现正常逻辑功能,正确的说法是( D )

A.与非门应将空闲引脚接地

B.与非门应将空闲引脚通过电阻接地

C.与门、与非门应将空闲引脚接地

D.与非门应将空闲引脚接V C C

27.对T T L 门电路要实现正常逻辑功能,正确的说法是(C )

A.或门,或非门应将空闲引脚浮空

B.或门应将空闲引脚通过电阻接V C C

C.或门,或非门应将空闲引脚接地

D. 或门,或非门应将空闲引脚接V C C 28. 三态门输出高阻状态时,不正确的说法(C )。

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动 29. 以下电路中可以实现“线与”功能的有 C 。

A.与非门

B.三态输出门

C.集电极开路门

D.基极开路门

30.以下电路中常用于总线应用的有 A 。

A.T S L 门

B.O C 门

C. 漏极开路门

D.C M O S 与非门 31.逻辑表达式Y =A B 可以用 C 实现。

A.正或门

B.正非门

C.正与门

D.负与门

32.T T L 电路在正逻辑系统中,以下各种输入中 D 相当于输入逻辑不是“1”。 A.悬空 B.通过电阻 2.7k Ω接电源 C.通过电阻 2.7k Ω接地 D.通过电阻510Ω接地

33.对于T T L 与非门闲置输入端的处理,不可以 C 。

A.接电源

B.通过电阻3k Ω接电源

C.接地

D.与有用输入端并联

34.要使T T L 与非门工作在转折区,可使输入端对地外接电阻R I C 。 A.>R O N

B.<R O F F

C.R O F F <R I <R O N

D.>R O F F

35.三极管作为开关使用时,要提高开关速度,不可 B 。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管

36.C M O S数字集成电路与T T L数字集成电路相比不突出的优点是B。

A.微功耗

B.高速度

C.高抗干扰能力

D.电源范围宽

38.与C T4000系列相对应的国际通用标准型号为B。

A.C T74S肖特基系列

B.C T74L S低功耗肖特基系列

C.C T74L低功耗系列

D.C T74H高速系列

39.集电极开路门的英文缩写为O C门

40.TTL与非门的多余输入端可以接固定高电平。(√)

41.当TTL与非门的输入端悬空时相当于输入为逻辑1。(√)

42.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。(√)

43.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。(√)

44.CMOS或非门与TTL或非门的逻辑功能完全相同。(√)

45.三态门的三种状态分别为:高电平、低电平、不高不低的电压。(×)

46.TTL集电极开路门输出为1时由外接电源和电阻提供输出电流。(√)

47.一般TTL门电路的输出端可以直接相连,实现线与。(×)

48.O C门称为门.集电极开路门

49.多个O C门输出端并联到一起可实现功能。线与

50.国产T T L电路相当于国际S N54/74L S系列。CT4000

51.什么是逻辑门的传输延时?

传输延时是指从门输入电平发生变化到相应的输出电平发生变化所对应的时间延迟。

52.什么是扇出?

是指一个逻辑门驱动同类的其它门时,其最多能够驱动的输入数。

53.什么是单位负载?

单位负载是指向同类门的一个输入。

54.O C门可实现()。线与

55.三态门可实现()。总线共享

56.三态门的输出端具有三种可能的状态,除了实现逻辑0和逻辑1状态外,还出现第三种状态,称为()。高阻态

57.三态门与普通门有什么区别?各适用于哪些场合?

三态门是普通门基础上附加控制电路构成的,与普通门相比,它有三种可能出现的状态,即高低电平和高阻态。三态门主要应用有构成总线结构,实现在同一数据线上分时传送若干个门电路的输出信号:还可以构成单输入、单输入的总

线驱动器,实现数据的双向传输等。

58.直接把两个门电路的输出连接一起实现“与”逻辑关系的接法叫()。线与 59.一般T T L 逻辑门的输出端()直接级联实现线与。不能 60.T T L 、O C 逻辑门的输出端可以直接级联,实现()。线与 61.E C L 逻辑门的输出端可以直接级联,实现()。线或 62.门电路中的O C 、O D 门可实现()功能。线与 63.三态门可以实现有条件的()功能。线或

64.为实现“线与”的逻辑功能,不能采用()电路结构。与非门

65.三态门除了通常的逻辑“1”和逻辑“0”外,还有第三种状态,即是()。高阻态

66.三态门的输出有()三种状态。高电平、低电平、高阻态

67.当多个三态门的输出端连在一根总线上时,应注意()。要控制各个三态门的控制端轮流有效,且每个时刻只有一个三态门有效。 68.74L S 系列T T L 集成电路(D )。

A.速度很高

B.功耗很小

C.可工作在-55℃

D.是低功耗肖特基电路 69.即可进行数字信号传输又可进行模拟信号传输的器件为(D )。 A.T T L 三态门 B.锁存器 C.触发器 D.C M O S 传输门 70.集电极开路门(O C 门)常被用于(D )。

A.输出高低电平及高阻态

B.模拟数字传输及构成开关电路

C.放大滤波和整形

D.外部“线与”、变换逻辑电平及提高驱动能力

71.通常用于外部“线与”、改变逻辑电平值、提高电流驱动能力的T T L 和C M O S 门电路是()门。O C 和O D 门 72.(C )是异或门的表达式。

A.AB AB X +=

B.AB A X +=

C.B A B A X +=

D.AB B A X +=

分析计算题: 1.某逻辑电路的V V

OL 3.0max

=,V V OH 4.2min =,V V IL 8.0max =,V V IH 0.2min =。求其噪声

容限

V

HN

V

NL

答案:根据噪声容限定义,可以计算出输入高电平噪声容限

V V V IH OH HN min

min -==2.4V -2.0V =0.4V ,输入低电平噪声容限

V V V

OL IL NL

max max -==0.8V -0.3V =0.5V.

2.已知三输入端的

T T L

与非门的参数为:

V V

OH

6.3=,

V V

OL

3.0=,

A I

OH

m 6.0=,

A I

OL

m 12=,

A I

IH

u 20=,

A I

IL

m 1=。试计算门电路的扇出系数N (即带

同类门的个数)。

答案:V V OL 3.0=,

A I OL

m 12=,而

A I IL

m 1=,故121/12/1===I

I N IL

OL

V V

OH

6.3=,A I

OH

m 6.0=,10/32==I I N IH

OH

门电路的扇出系数N =10。

3.有一集成电路,手册上规定输出低电平的最大值

,4.0V V

OLMAX

=输入低电平的最

大值

V V

IL 75.0max

=,输出高电平的最小值

V V

OHMIN

4.2=,输入高电平的最小值

,2V V

IHMIN

=问该电路的高低电平噪声容限分别是多少?

答案:根据噪声容限定义,可以计算出输入高电平噪声容限

V V V IH OH HN min

min -==2.4V -2.0V =0.4V ,

V V V

OL IL NL

max max -==0.75V -0.4V =0.35V 。

4.

解答题

1.简述门电路输入输出特性、电压传输特性、最大扇出系数。

解答:

门电路输入特性:在输入高、低电平时,门电路的输入电流与输入电压的关系叫做输入特性。

门电路输出特性:在输出高、低电平时,门电路的输出电流与输出电压的关系叫做输出特性。

电压传输特性:门电路的输出电压随输入电压变化的曲线叫做电压传输特性。

最大扇出系数:在给定的输入输出特性曲线下,门电路可以驱动同类型的门电路的最大数目叫做最大扇出系数。

2.什么情况下与非门的输出为低逻辑电平?

当所有输入都为高电平时,输出为低逻辑电平。

3.

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电路与系统设计:第9章习题答案

习题目录 9.1 (2) 9.2 (2) 9.3 (2) 9.4 (3) 9.5 (4) 9.6 (6) 9.7 (6) 9.8 (6) 9.9 (6) 9.10 (7) 9.11 (7)

9.1在ROM中,什么是“字数”,什么是“位数”?如何标注存储器的容量? 解:地址译码器的输出线称作字线,字数表示字线的个数;存储矩阵的输出线称作位线(数据线)。位数表示位线的个数。字线和位线的每个交叉占处有—个存储单元。因此存储容量用“字数×位数”表示。 9.2固定ROM、PROM、EPROM、E2PROM之间有何异同? 解:固定ROM、PROM、EPROM、E2PROM都是只读存储器,它们的工作原理和结构相同,都是由地址译码器、存储矩阵和输出电路构成,当地址译码器选中某一个字后,该字的若干位同时由输出电路输出,存储矩阵由M个字、每个字N位的存储单元构成。 它们的不同之处在于存储单元的写入和擦除方式不同。固定ROM出厂时结构数据已经固定,用户不能更改,适于存储大批量生产的程序和数据,常被集成到微控制器中作为程序存储器;PROM可由用户写入数据,但只能一次性写入,之后不能更改。适于存储中、小批量生产的程序和数据;EPROM数据可通过紫外线擦除,重新写入。可擦除数百次,写入一个字节需50ms。适用于开发研制阶段存储数据和程序,并可经常修改;E2PROM数据可通过电擦除,因此在工作时间可随时擦写。可擦除数10~1000万次,写入一个字节需20ms。适合于信息量不大,经常要改写,掉电后仍保存的场合。 9.3 试用ROM阵列图实现下列一组多输出逻辑函数 F1(A,B,C)=?AB+A?B+BC F2(A,B,C)=∑m(3,4,5,7) F3(A,B,C)=?A?B?C+?A?BC+?ABC+AB?C+ABC 解:将F1 ,F2 ,F3都用最小项表达式表示: F1(A,B,C)=?AB+A?B+BC=∑m(2,3,4,5,7) F2(A,B,C)=∑m(3,4,5,7) F3(A,B,C)=?A?B?C+?A?BC+?ABC+AB?C+ABC=∑m(0,1,3,6,7) ROM的阵列图如下图:

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电路与系统第一章

1.4 (1, 2, 3) 1.5 (1, 3) 1.6 1.10 (1, 3, 5) 1.12 (2, 4)1.14 1.16 1.17 (1,3) 1.19 (1, 3, 5) Homeworks (第三版): 1

Chapter 1. Number systems and codes 第一章. 数制与编码 2

Content 本章内容: 1. Number systems and their conversions 数制及其相互转换 2. BCD and Grey codes BCD和格雷码 3. Signed binaries 带符号的二进制数 Extended learning Information theory and coding 信息论与编码

Content 本章内容: 1. Number systems and their conversions 数制及其相互转换 2. BCD and Grey codes BCD 和格雷码 3. Signed binaries 带符号的二进制数 Extended learning Information theory and coding 信息论与编码 Therefore, in a general sense, information is Knowledge communicated or received concerning a particular fact or circumstance or rather, information is an answer to a question. Information cannot be predicted and resolves uncertainty.

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电路与系统设计课后习题答案

. 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数?解:分别代表28=256和210=1024个数。 1.4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD

数字电子技术基础试题和答案

一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入()电平。 5.基本逻辑运算有: ()、()和()运算。 6.采用四位比较器对两个四位数比较时,先比较()位。 7.触发器按动作特点可分为基本型、()、()和边沿型; 8.如果要把一宽脉冲变换为窄脉冲应采用()触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。 10.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。 11.数字系统按组成方式可分为、两种; 12.两二进制数相加时,不考虑低位的进位信号是()加器。 13.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 15.计数器按CP脉冲的输入方式可分为___________和___________。 16.触发器根据逻辑功能的不同,可分为___________、___________、___________、___________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用___________、___________、___________等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有个稳态,它可存储位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。 20.把JK触发器改成T触发器的方法是。 二.数制转换(5分): 1、(11.001)2=()16=()10 2、(8F.FF)16=()2=()10 3、(25.7)10=()2=()16 4、(+1011B)原码=()反码=( )补码 5、(-101010B)原码=()反码=( )补码

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

相关文档
最新文档