计算机设计与实践CPU设计报告(内含详细说明及各模块源码)

计算机设计与实践CPU设计报告(内含详细说明及各模块源码)
计算机设计与实践CPU设计报告(内含详细说明及各模块源码)

计算机设计与实践CPU设计报告

学号:1110310506

姓名:刘秋如

一、指令格式设计

?指令是由操作码和地址码两部分组成的.

?指令系统中指定所有的指令都是二地址指令.

?通用寄存器的数量为8,需3位地址与之对应

?访存的形式地址为8位

?指令的高5位表示操作码

因此,设计如下两种指令格式,分别对应寄存器-寄存器型指令和其他指令。

15 11 10 8 7 2 0

15 11 10 8 7 0

二、微操作的定义

注:Ri代表3位该寄存器号的二进制表示,X,sign为8位立即数,add为8为地址

三、节拍的划分

每个指令周期包含4个机器周期,分别为:?T1:取指周期

?T2:运算周期

?T3:访存周期

?T4:回写周期

每个机器周期包含一个节拍。

四、处理器详细结构设计框图及功能描述

(一)整体框图

nmREQ 16 地址总线nRD nWR 16 数据总线nBHE nBLE

(二)各模块详细说明(数据流关系、接口说明)

1 时钟管理模块

1.1结构框图:

t4

1.2功能描述:时钟模块为一节拍发生器,以输入时钟信号作为触发,四个节拍循环往复,当“rest”为1时节拍复位。

1.4接口说明:

entity cpu_clock is

Port ( clk : in STD_LOGIC;

reset : in STD_LOGIC;

t1 : out STD_LOGIC;

end cpu_clock;

2 取址模块

2.1

pc_new

ir_new ir_out pc_toctrl

2.2功能描述:取指模块主要负责取指操作,当复位信号为1时,pc 置零;若pc 更新标志(pc_updata )为1,则更新当前的pc 值;在第一个节拍,将当前pc 给到访存控制模块,在将当前的指令从主存读出送到取指模块;同时将取得的指令送往运算模块、回写模块,pc 送往回写模块。之后PC+1;

pc_update : in STD_LOGIC; clk : in STD_LOGIC; r : out STD_LOGIC;

pc_out : out STD_LOGIC_VECTOR (15 downto 0); ir_out : out STD_LOGIC_VECTOR (15 downto 0); pc_new : in STD_LOGIC_VECTOR (15 downto 0); ir_new : in STD_LOGIC_VECTOR (15 downto 0);

pc_toctrl : out STD_LOGIC_VECTOR (15 downto 0)); end cpu_getir;

3 运算模块 3.1结构框图:

3.2功能描述:复位信号为1时,a0、a1、b0、b1清零(当b0=1,b1=0时为访存读;当b0=1、b1=1时为访存写;当a0=1时为回写PC ,当a1=1时为回写寄存器);当回写信号为1时,将回写的数据回写入寄存器中;在第二节拍完成指令的译码工作,并根据译码结果置a0、a1、b0、b1四个标志位,并对相关的指令置好addr_out 、pc_out 和reg_out,以及对一些改变运算标志位的指令置好Cy 和Z 这两个标志位。

3.3数据流关系:

3.4接口说明: entity cpu_alu is

Port ( cs : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC; b0 : out STD_LOGIC; b1 : out STD_LOGIC; a0 : out STD_LOGIC; a1 : out STD_LOGIC;

reg_update : in STD_LOGIC;

pc_in : in STD_LOGIC_VECTOR (15 downto 0); ir_in : in STD_LOGIC_VECTOR (15 downto 0); reg_in : in STD_LOGIC_VECTOR (7 downto 0); reg_out : out STD_LOGIC_VECTOR (7 downto 0); pc_out : out STD_LOGIC_VECTOR (15 downto 0); addr_out : out STD_LOGIC_VECTOR (15 downto 0)); end cpu_alu;

4 存储管理模块

4.1结构框图:

4.2功能描述:

此模块主要完成从存储管理模块进行不同指令的存数与取数的请求。

4.3数据流关系:

4.4接口说明:

entity cpu_memory is

Port ( cs : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC; c0 : in STD_LOGIC; c1 : in STD_LOGIC;

reg_in : in STD_LOGIC_VECTOR (7 downto 0); addr_in : in STD_LOGIC_VECTOR (15 downto 0); signaltoctrl : out STD_LOGIC; w_r : out STD_LOGIC;

data_in : in STD_LOGIC_VECTOR (15 downto 0); addr_out : out STD_LOGIC_VECTOR (15 downto 0); data_toctrl : out STD_LOGIC_VECTOR (15 downto 0); data_out : out STD_LOGIC_VECTOR (7 downto 0)); end cpu_memory;

5 访存控制模块 5.1结构框图:

5.2功能描述:

根据PC

储器进行读写操作。

5.4接口说明:

entity cpu_memoryctrl is

Port ( pc_r : in STD_LOGIC;

pc_in : in STD_LOGIC_VECTOR (15 downto 0); ir_out : out STD_LOGIC_VECTOR (15 downto 0); mem_signal : in STD_LOGIC; mem_rw : in STD_LOGIC;

addr_in : in STD_LOGIC_VECTOR (15 downto 0); data_in : in STD_LOGIC_VECTOR (15 downto 0); data_out : out STD_LOGIC_VECTOR (15 downto 0); nMREQ : out STD_LOGIC; nRD : out STD_LOGIC; nWR : out STD_LOGIC; nBHE : out STD_LOGIC; nBLE : out STD_LOGIC;

DBUS : inout STD_LOGIC_VECTOR (15 downto 0); ABUS : out STD_LOGIC_VECTOR (15 downto 0)); end cpu_memoryctrl;

6 回写模块 6.1结构框图:

6.2PC或者是Reg的回写,当回写pc信号d0有效时,将pcnew赋给PC实现;当会写寄存器信号d1有效时,将reg_new赋给相应的寄存器。

6.4接口说明:

entity cpu_writeback is

Port ( cs : in STD_LOGIC;

clk : in STD_LOGIC;

reset : in STD_LOGIC;

d0 : in STD_LOGIC;

d1 : in STD_LOGIC;

data_in : in STD_LOGIC_VECTOR (7 downto 0);

pc_in : in STD_LOGIC_VECTOR (15 downto 0);

en_topc : out STD_LOGIC;

pc_new : out STD_LOGIC_VECTOR (15 downto 0)); end cpu_writeback;

五、UCF文件、测试指令序列

(一)UCF文件

#PACE: Start of Constraints generated by PACE

#PACE: Start of PACE I/O Pin Assignments

NET "ABUS<0>" LOC = "P179" ;

NET "ABUS<10>" LOC = "P115" ;

NET "ABUS<11>" LOC = "P116" ;

NET "ABUS<12>" LOC = "P119" ;

NET "ABUS<13>" LOC = "P140" ;

NET "ABUS<14>" LOC = "P144" ;

NET "ABUS<15>" LOC = "P145" ;

NET "ABUS<1>" LOC = "P178" ;

NET "ABUS<2>" LOC = "P177" ;

NET "ABUS<3>" LOC = "P172" ;

NET "ABUS<4>" LOC = "P171" ;

NET "ABUS<5>" LOC = "P151" ;

NET "ABUS<6>" LOC = "P150" ;

NET "ABUS<7>" LOC = "P147" ;

NET "ABUS<8>" LOC = "P146" ;

NET "ABUS<9>" LOC = "P113" ;

NET "CLK" LOC = "P75" ;

NET "cy_out" LOC = "P3" ;

NET "DBUS<0>" LOC = "P167" ;

NET "DBUS<10>" LOC = "P123" ;

NET "DBUS<11>" LOC = "P128" ;

NET "DBUS<12>" LOC = "P132" ;

NET "DBUS<13>" LOC = "P133" ;

NET "DBUS<14>" LOC = "P134" ;

NET "DBUS<15>" LOC = "P135" ;

NET "DBUS<1>" LOC = "P165" ;

NET "DBUS<2>" LOC = "P164" ;

NET "DBUS<3>" LOC = "P163" ;

NET "DBUS<4>" LOC = "P162" ;

NET "DBUS<5>" LOC = "P161" ;

NET "DBUS<6>" LOC = "P160" ;

NET "DBUS<7>" LOC = "P153" ;

NET "DBUS<8>" LOC = "P120" ;

NET "DBUS<9>" LOC = "P122" ;

NET "nBHE" LOC = "P138" ;

NET "nBLE" LOC = "P137" ;

NET "nMREQ" LOC = "P168" ;

NET "nRD" LOC = "P139" ;

NET "SABUS<0>" LOC = "P60" ; NET "SABUS<10>" LOC = "P129" ; NET "SABUS<11>" LOC = "P202" ; NET "SABUS<12>" LOC = "P203" ; NET "SABUS<13>" LOC = "P205" ; NET "SABUS<14>" LOC = "P206" ; NET "SABUS<15>" LOC = "P103" ; NET "SABUS<1>" LOC = "P61" ; NET "SABUS<2>" LOC = "P62" ; NET "SABUS<3>" LOC = "P63" ; NET "SABUS<4>" LOC = "P2" ; NET "SABUS<5>" LOC = "P108" ; NET "SABUS<6>" LOC = "P109" ; NET "SABUS<7>" LOC = "P112" ; NET "SABUS<8>" LOC = "P126" ; NET "SABUS<9>" LOC = "P127" ; NET "SDBUS<0>" LOC = "P31" ; NET "SDBUS<10>" LOC = "P47" ; NET "SDBUS<11>" LOC = "P48" ; NET "SDBUS<12>" LOC = "P49" ; NET "SDBUS<13>" LOC = "P50" ; NET "SDBUS<14>" LOC = "P55" ; NET "SDBUS<15>" LOC = "P56" ; NET "SDBUS<1>" LOC = "P33" ; NET "SDBUS<2>" LOC = "P34" ; NET "SDBUS<3>" LOC = "P35" ; NET "SDBUS<4>" LOC = "P36" ; NET "SDBUS<5>" LOC = "P39" ; NET "SDBUS<6>" LOC = "P40" ; NET "SDBUS<7>" LOC = "P41" ; NET "SDBUS<8>" LOC = "P42" ; NET "SDBUS<9>" LOC = "P45" ; NET "Sir_out<0>" LOC = "P4" ; NET "Sir_out<10>" LOC = "P22" ; NET "Sir_out<11>" LOC = "P23" ; NET "Sir_out<12>" LOC = "P24" ; NET "Sir_out<13>" LOC = "P25" ; NET "Sir_out<14>" LOC = "P28" ; NET "Sir_out<15>" LOC = "P29" ; NET "Sir_out<1>" LOC = "P5" ; NET "Sir_out<2>" LOC = "P8" ; NET "Sir_out<3>" LOC = "P9" ; NET "Sir_out<4>" LOC = "P11" ; NET "Sir_out<5>" LOC = "P12" ; NET "Sir_out<6>" LOC = "P15" ; NET "Sir_out<7>" LOC = "P16" ; NET "Sir_out<8>" LOC = "P18" ;

NET "St2" LOC = "P193" ;

NET "St3" LOC = "P192" ;

NET "St4" LOC = "P190" ;

NET "tsnBHE" LOC = "P100" ;

NET "tsnBLE" LOC = "P102" ;

NET "tsnMREQ" LOC = "P83" ;

NET "tsnRD" LOC = "P98" ;

NET "tsnWR" LOC = "P99" ;

NET "z_out" LOC = "P200" ;

#PACE: Start of PACE Area Constraints

#PACE: Start of PACE Prohibit Constraints

#PACE: End of Constraints generated by PACE

(二)测试指令序列

K47为复位键,(K47为高时复位,为低时正常工作,如运行中出现问题,请在K47为高时给一次时钟信号。)CLK(P75)为时钟。

七段数码管S5,S4 为地址总线。

七段数码管S3,S2 为数据总线。

七段数码管S1,S0 为当前运行的代码。

发光二级管B4 为CY。

发光二极管B5 为Z.

发光二极管B0 ,B1,B2,B3 分别为第一拍,第二拍,第三拍,第四拍标志灯。

发光二极管A4~A0分别为nMREQ、nRD、nWR、nBHE、nBLE

地址指令 16进制代码注释

0000: MOV R0 F0; A8F0 直接寻址寄存器赋值

0001: MOV R1 O2; A903 **

0002: MOV R2 3B; AA3B **

0003: MOV R3 42; AB42 **

0004: MOV R4 0C; AC0C ** R4 = 0C

0005: ADC RO RI; 8801 寄存器寻址加法 R0 = F3

0006: SBB R2 R3; 9203 寄存器寻址减法 R2 = F9

0007: AND R1 R2; 9902 寄存器寻址与 R1 = 01

0008: OR R3 R0; A300 寄存器寻址或 R3 = F3

0009: MOV R5 RO; 8500 寄存器寻址寄存器赋值 R5 = F3

000A: MOV R7 01; AF01 直接寻址寄存器赋值 R7 = 01

000B: MOV [01] R0; D808 直接寻址将寄存器写入主存,[0101]=F3

000C: MOV [11] R1; D889 **[0111]=02

000D: MOV [02] R2; D892 **[0102]=F9

000E: MOV [13] R3; D89B **

000F; MOV [14] R4; D8A4 **

0010: MOV [05] R5; D82D ** 将所得数据R0-R5写入到主存0110 - 0115;

0011: CLI; E000 复位CY CY = 0

0012: ADC RO 13; B013 直接寻址加法 R0 = 06 CY = 1

0013: SBB R1 04; B904 直接寻址减法 R1 = FC CY = 1

0016: MOV [10] R0; D880 **

0017: MOV [11] R1; D889 **

0018: MOV [12] R2; D892 **

0019: MOV [13] R3; D89B ** 将所得数据R0-R3写入到主存0110 - 0113;

001A: MOV R4 01; AC01 **

001B: MOV R5 [R4]; F504 间址寻址 R5 = [R7 & R4] = [0101]

001C: MOV R6 01; AE01 **

001D: MOV R4 [R6 + 4]; FC04 变址寻址R4 = [R7 & (R6 + 4)] = [0105]

001E: MOV R6 [02]; D602 直接寻址将主存写入寄存器 R6 = [R7 & 02] = [0102]

001F: MOV [15] R5; D8AD **

0020: MOV [14] R4; D8A4 **

0021: MOV [10] R0; D880 **

0022: MOV [16] R6; D8B6 ** 将所得数据R0,R4,R5,R6,写入0110,0114,0115,0116

0023: JMP 50; 0050 无条件跳转 PC = [R7 + 50] = [0150]

0150: STI; E800 **

0151: JC F8; 10F8 CY = 1 则跳转(此时CY = 1,跳转)PC = 0151 + F8 + 1 = 014A

014A: AND RO 00; C000 ** Z = 1

014B: JZ 10; 0810 Z = 1 则跳转(此时Z = 1,跳转)PC = 0173+ 10 + 1 = 0184

015C: CLI; E000 复位 CY CY = 0

015D: OR RO 01; C811 ** Z = 0

015E: JC 20; 1020 CY = 1 则跳转(此时CY = 0,不跳转)

015F: JZ 10; 0810 Z = 1 则跳转(此时Z = 1,不跳转)

0160: JMP 60; 0060 终止(跳转到本身)

六、设计、调试、波形、下载过程中遇到的问题及解决方法

1.数据总线的数据显示不对。

在读完数据后,未将DBUS赋值为高阻。在访存模块中,在读取数据总线数据后,随即将其赋值为高阻状态。

2.下载到板子上时,IR只显示低8位。

在访存模块中未将DBUS的高八位赋值,只将低八位赋值为,将其的高八位并上“00000000”即可。

3.运算产生Cy=1时,随即加上Cy=1这一结果,本应该在下一运算中加上。

将对于Cy的处理放于另一个Process中处理,专门处理对于Process的赋值情况,避免了上述情况的发生。

4.处理跳转指令时跳转错误。

之前实验的时候将跳转指令的处理放在运算模块中,但无论怎么改都不起作用,之后将跳转指令的处理放到回写模块中就有用了。

总结:通过本次的cpu课程设计,让我学会了很多,在刚开始做的时候还手忙脚乱、不知从哪里下手,但经过学习和讨论之后渐渐的有头绪起来,开始设计了自己的方案,但在实际编写VHDL代码时同样遇到了很多问题,由于知识不是很扎实,经常要翻阅资料来编写,编译时经常出现错误,但经过修改后都改正成功,因此在这段时间我首先巩固了有关VHDL语言的知识。在下载调试中,经常出现和自己预想不一样的情况发生,因此需要多次重新调整代码,这让我很头疼,也让我明白了要想完成一项工程的不易,况且这只是一个很小的工程。因此在这期间我还学会了如何去发现与解决问题。同时我又巩固了有关于CPU的一些知识,掌握了Xilinx ISE集成开发环境和ModelSim仿真工具的使用方法;掌握了FPGA编程方法及硬件调试手段,深刻理解了处理器结构和计算机系统的整体工作原理。当看到自己设计的CPU成功后心里很开心,这次设计让我获益匪浅。

七、波形总波形

时钟管理模块

取指模块

运算模块

访存控制模块

下载测试

(完整版)软件详细设计说明书模板

软件详细设计说明书 v1.0 200X年月XX日 修订历史记录

编制 审查 审核 批准 文档评审负责人:参加评审人员:

目录 1引言 (4) 1.1编写目的 (4) 1.2背景 (4) 1.3定义 (4) 1.4设计依据 (4) 2软件系统结构 (4) 2.1功能需求 (4) 2.2子模块划分 (4) 2.3子模块间关系 (4) 3公共数据结构 (4) 4程序设计说明 (5) 4.1程序1设计说明 (5) 4.1.1程序描述 (5) 4.1.2功能 (5) 4.1.3性能 (5) 4.1.4输入 (5) 4.1.5输出 (5) 4.1.6算法 (5) 4.1.7流程 (5) 4.2程序2设计说明 (5) 5模块重用说明 (5)

1引言 1.1编写目的 〖说明编写这份软件详细设计说明书的目的〗 1.2背景 〖说明待开发软件(子)系统的名称和此软件(子)系统所属大系统的名称; 说明任务的来源(开发背景和市场背景)等;该软件(子)系统与大系统中其他子系统的关系。〗 1.3定义 〖列出本文档中所用到的专门术语的定义和缩写词的原意〗 1.4设计依据 〖列出本文档所引用的有关设计依据(标题、文件编号、版本号、作者、发布日期、出版单位),包括本项目内部已编写的有效文档、出版刊物和国家标准或规范〗2软件系统结构 2.1功能需求 2.2子模块划分 〖说明本软件系统(或模块)的实现,即其内部的子模块划分(给出程序的名称和标识符)。建议以图形说明。〗 1.XXXXXXXX 2.XXXXXXXX 3.XXXXXXXX 4.XXXXXXXX 5.XXXXXXXX 6.XXXXXXXX 2.3子模块间关系 〖说明各子模块间的控制、顺序等耦合关系。〗 3公共数据结构 〖给出本软件系统使用的每一个公共数据结构的类型定义、存储方式,公共数据结构内各元素项的类型定义、初始取值、可能取值的范围及相应的物理含义。建议以类似C语言的数据说明格式来描述。〗

系统详细设计说明书

文档标题 文档编号BH-CSD-003 版本V1.0 密级商密 A 研发生产中心项目名称全科医生专家咨询系统 项目来源 系统详细设计说明书 (V1.0 ) 南京毗邻智慧医疗科技有限公司 二○一三年十一月

文档变更记录 序号变更( +/- )说明作者版本号日期批准1 创建Steve.ma V1.0 2013.11.15 1 引言.......................................................................... (3) 1.1 编写目 的 ......................................................................... (3) 1.2 背 景 .......................................................................... (3) 1.3 定 义 .......................................................................... (3) 1.4 参考资 料 ......................................................................... (4) 2 平台安全体系与程序系统的结构........................................................................................ (4) 2.1 平台安全体 系 ........................................................................ (4) 2.2 程序系统结 构 ........................................................................ (5) 3 程序设计说 明 ........................................................................... (5) 3.1 程序描 述 ......................................................................... (5) 功能及其接 口 ........................................................................

计算机仿真课程设计报告

、 北京理工大学珠海学院 课程设计任务书 2010 ~2011 学年第 2学期 学生姓名:林泽佳专业班级:08自动化1班指导教师:钟秋海工作部门:信息学院一、课程设计题目 : 《控制系统建模、分析、设计和仿真》 本课程设计共列出10个同等难度的设计题目,编号为:[0号题]、[1号题]、[2号题]、[3号题]、[4号题]、[5号题]、[6号题]、[7号题]、[8号题]、[9号题]。 学生必须选择与学号尾数相同的题目完成课程设计。例如,学号为8xxxxxxxxx2的学生必须选做[2号题]。 二、课程设计内容 (一)《控制系统建模、分析、设计和仿真》课题设计内容|

! " [2 有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 (二)《控制系统建模、分析、设计和仿真》课题设计要求及评分标准【共100分】 , 1、求被控对象传递函数G(s)的MATLAB描述。(2分) 2、求被控对象脉冲传递函数G(z)。(4分) 3、转换G(z)为零极点增益模型并按z-1形式排列。(2分) 4、确定误差脉冲传递函数Ge(z)形式,满足单位加速度信号输入时闭环稳态误差为零和实际 闭环系统稳定的要求。(6分) 5、确定闭环脉冲传递函数Gc(z)形式,满足控制器Dy(z)可实现、最少拍和实际闭环系统稳 定的要求。(8分)

6、根据4、5、列写方程组,求解Gc(z)和Ge(z)中的待定系数并最终求解Gc(z)和Ge(z) 。 (12分) 7、求针对单位加速度信号输入的最少拍有波纹控制器Dy(z)并说明Dy(z)的可实现性。 (3分) ! 8、用程序仿真方法分析加速度信号输入时闭环系统动态性能和稳态性能。(7分) 9、用图形仿真方法(Simulink)分析单位加速度信号输入时闭环系统动态性能和稳态性能。 (8分) 10、确定误差脉冲传递函数Ge(z)形式,满足单位速度信号输入时闭环稳态误差为零和实际 闭环系统稳定的要求。(6分) 11、确定闭环脉冲传递函数Gc(z)形式,满足控制器Dw(z)可实现、无波纹、最少拍和实际 闭环系统稳定的要求。(8分) 12、根据10、11、列写方程组,求解Gc(z)和Ge(z)中的待定系数并最终求解Gc(z)和Ge(z) 。 (12分) 13、求针对单位速度信号输入的最少拍无波纹控制器Dw(z)并说明Dw(z)的可实现性。(3分) 14、用程序仿真方法分析单位速度信号输入时闭环系统动态性能和稳态性能。(7分) 15、用图形仿真方法(Simulink)分析单位速度信号输入时闭环系统动态性能和稳态性能。 & (8分) 16、根据8、9、14、15、的分析,说明有波纹和无波纹的差别和物理意义。(4分) 三、进度安排 6月13至6月14:下达课程设计任务书;复习控制理论和计算机仿真知识,收集资料、熟悉仿真工具;确定设计方案和步骤。 6月14至6月16:编程练习,程序设计;仿真调试,图形仿真参数整定;总结整理设计、 仿真结果,撰写课程设计说明书。 6月16至6月17:完成程序仿真调试和图形仿真调试;完成课程设计说明书;课程设计答 辩总结。 [ 四、基本要求

中南大学微机课程设计报告交通灯课案

微机课程设计报告

目录 一、需求分析 1、系统设计的意义 (3) 2、设计内容 (3) 3、设计目的 (3) 4、设计要求 (3) 5、系统功能 (4) 二、总体设计 1、交通灯工作过程 (4) 三、设计仿真图、设计流程图 1、系统仿真图 (5) 2、流程图 (6) 3、8253、8255A结构及功能 (8) 四、系统程序分析 (10) 五、总结与体会 (13) 六、参考文献 (13)

一、需求分析 1系统设计的意义: 随着社会经济的发展,城市问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据检测、交通信号灯控制与交通疏通的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 随着城市机动车量的不断增加,组多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速通道,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速通道,缓解主干道与匝道、城市同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通灯的控制方式很多,本系统采用可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现本系统的各种功能。同时,本系统实用性强,操作简单。 2、设计内容 采用8255A设计交通灯控制的接口方案,根据设计的方案搭建电路,画出程序流程图,并编写程序进行调试 3、设计目的 综合运用《微机原理与应用》课程知识,利用集成电路设计实现一些中小规模电子电路或者完成一定功能的程序,以复习巩固课堂所学的理论知识,提高程序设计能力及实现系统、绘制系统电路图的能力,为实际应用奠定一定的基础。针对此次课程设计主要是运用本课程的理论知识进行交通灯控制分析及设计,掌握8255A方式0的使用与编程方法,通从而复习巩固了课堂所学的理论知识,提高了对所学知识的综合应用能力。 4、设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口;

项目开发详细设计说明书(超好用模板)完整版

详细设计说明书XX有限公司

修订记录

目录 第一章概述........................................................................... 错误!未定义书签。 1.1.应用模块的目的....................................................... 错误!未定义书签。 1.2.应用模块总体描述................................................... 错误!未定义书签。 1.3.应用模块接口描述................................................... 错误!未定义书签。 1.4.假设条件................................................................... 错误!未定义书签。第二章设计模式(Design pattern) ................................... 错误!未定义书签。第三章类设计....................................................................... 错误!未定义书签。 3.1.分块类图................................................................... 错误!未定义书签。 <类图1> ............................................................ 错误!未定义书签。 <类图n> ............................................................ 错误!未定义书签。 3.2.整体继承关系........................................................... 错误!未定义书签。 3.3.类描述....................................................................... 错误!未定义书签。 <类名1> Class Description............................. 错误!未定义书签。 <类名n> Class Description............................. 错误!未定义书签。第四章交互图....................................................................... 错误!未定义书签。 4.1.<情景编号1: 情景名称> ........................................ 错误!未定义书签。 交互图................................................................ 错误!未定义书签。 例外情况及条件................................................ 错误!未定义书签。 4.2.<情景编号n: 情景名称> ........................................ 错误!未定义书签。第五章状态图....................................................................... 错误!未定义书签。 5.1.<状态图编号1:状态图名称> .................................. 错误!未定义书签。 5.2.<状态图编号n:状态图名称> .................................. 错误!未定义书签。第六章时序流程图............................................................... 错误!未定义书签。第七章用户界面设计说明................................................... 错误!未定义书签。 7.1.用户界面关系........................................................... 错误!未定义书签。 7.2.用户界面具体描述................................................... 错误!未定义书签。 <界面编号1:界面名称〉 ................................. 错误!未定义书签。 <界面编号N:界面名称〉 ................................ 错误!未定义书签。

网上购物系统——详细设计说明书

网上购物系统 详细设计说明书 1引言 1.1编写目的 电子商务是于九十年代初,在欧美兴起的一种全新的商业交易模式,它实现了交易的无纸化,效率化,自动化表现了网络最具魅力的地方,快速的交换信息,地理界限的模糊,这所有的一切也必将推动传统商业行为在网路时代的变革。随着电子商务,尤其是网上购物的发展,商品流通基础设施和配套行业的重点将会将对中国商品流通领域和整个经济发展带来种种影响,确实值得我们认真研究。特别是在全球经济一体化的国际背景下,在我们继续扩大国内流通领域对外开放的同时,深入研究这个问题,审慎制订相应的宏观对策,尤其重要和迫切。网上购物是一种具有交互功能的商业信息系统。它向用户提供静态和动态两类信息资源。所谓静态信息是指那些比经常变动或更新的资源,如公司简介、管理规范和公司制度等等;动态信息是指随时变化的信息,如商品报价,会议安排和培训信息等。网上购物系统具有强大的交互功能,可使商家和用户方便的传递信息,完成电子贸易或EDI交易。这种全新的交易方式实现了公司间文档与资金的无纸化交换。 1.2.项目背景 软件名称:网上购物系统 开发者:宋金德,袁浩,王朝阳,许威 项目简介:本系统主要实现网上产品展示与在线定购及人员的管理, 一、不同身份有不同的权限功能(管理人员、注册用户、游客) 二、在线产品展示(分页显示) 三、在线定购 四、后台管理(用户管理、商品的管理) 1.3定义 Asp(active server pages)是微软公司推出的一种用以取代CGI的技术,基于目前绝大多数网站应用于windows平台,asp是一个位于windows服务器端的脚本运行环境,通过这种环境,用户可以创建和运行动态的交互式的web服务器应用程序以及EDI(电子数据交换)。 ADO:ActiveX Data Object, ActiveX 数据对象 SQL:Structured Query Language 1.4参考资料 [1] 谭浩强《动态网页制作ASP》北京电子工业出版社. 2001 [2] 彭万波《网页设计精彩实例》北京电子工业出版社.2002

Simulink系统仿真课程设计

《信息系统仿真课程设计》 课程设计报告 题目信息系统课程设计仿真 院(系): 信息科学与技术工程学院 专业班级:通信工程1003 学生姓名: 学号: 指导教师:吴莉朱忠敏 2012年1 月14 日至2012年1 月25 日 华朴中科技大学武昌分校制 信息系统仿真课程设计任务书

20 年月日 目录 摘要 (5)

一、Simulink 仿真设计 (6) 1.1 低通抽样定理 (6) 1.2 抽样量化编码 (9) 二、MATLA仿真设计 (12) 2.1 、自编程序实现动态卷积 (12) 2.1.1 编程分析 (12) 2.1.2 自编matlab 程序: (13) 2.1.3 仿真图形 (13) 2.1.4 仿真结果分析 (15) 2.2 用双线性变换法设计IIR 数字滤波器 (15) 2.2.1 双线性变换法的基本知识 (15) 2.2.2 采用双线性变换法设计一个巴特沃斯数字低通滤波器 (16) 2.2.3 自编matlab 程序 (16) 2.2.4 仿真波形 (17) 2.2.5 仿真结果分析 (17) 三、总结 (19) 四、参考文献 (19) 五、课程设计成绩 (20) 摘要 Matlab 是一种广泛应用于工程设计及数值分析领域的高级仿真平台。它功能

强大、简单易学、编程效率高,目前已发展成为由MATLAB 语言、MATLAB 工作环境、MATLAB 图形处理系统、MATLAB 数学函数库和MATLAB 应用程序接口五大部分组成的集数值计算、图形处理、程序开发为一体的功能强大的系统。本次课程设计主要包括MATLAB 和SIMULINKL 两个部分。首先利用SIMULINKL 实现了连续信号的采样及重构,通过改变抽样频率来实现过采样、等采样、欠采样三种情况来验证低通抽样定理,绘出原始信号、采样信号、重构信号的时域波形图。然后利用SIMULINKL 实现抽样量化编码,首先用一连续信号通过一个抽样量化编码器按照A 律13折线进量化行,观察其产生的量化误差,其次利用折线近似的PCM 编码器对一连续信号进行编码。最后利用MATLAB 进行仿真设计,通过编程,在编程环境中对程序进行调试,实现动态卷积以及双线性变换法设计IIR 数字滤波器。 本次课程设计加深理解和巩固通信原理、数字信号处理课上所学的有关基本概念、基本理论和基本方法,并锻炼分析问题和解决问题的能力。

详细设计说明书模版

(项目名称)详细设计说明书 文件版本 编写日期 发布日期

文件修改记录 修改日期版本号变化状态修改内容修改人 *变化状态:C――创建,A——增加,M——修改,D——删除 文档审批信息 版本号提交人批准人批准日期发布日期备注

目录 1引言 (1) 1.1编写目的 (1) 1.2适用范围 (1) 1.3术语和缩写 (1) 1.4参考资料 (1) 2概述 (1) 2.1系统概述 (1) 2.2系统功能定义 (1) 3总体结构说明 (1) 3.1系统结构 (1) 3.1.1系统内外部关系图 (1) 3.1.2功能模块简要说明 (1) 3.1.3依赖的外部接口 (1) 3.1.4对外提供的接口 (1) 3.2模块程序构件结构图 (1) 4数据模型(Data Model)设计 (2) 4.1逻辑实体模型 (2) 4.1.1实体模型1 (2) 4.1.2实体模型2 (3) 4.2表结构(物理设计) (3) 4.2.1表汇总 (3) 4.2.2表1 (3) 4.2.3表2 (3) 4.3视图列表 (4) 5功能实现说明 (4) 5.1数据流类模块 (4) 5.1.1数据流程图 (4) 5.1.2实现说明 (4) 5.1.3程序设计 (4) 5.2业务处理类模块 (5) 5.2.1Object Model设计 (5)

5.2.2程序设计 (5) 6界面实现说明 (5) 6.1模块1 (5) 6.1.1总体界面结构(业务操作区)说明 (5) 6.1.2功能点1界面结构说明 (5) 6.1.3功能点2界面结构说明 (5) 6.2模块2 (6) 6.2.1总体界面结构(业务操作区)说明 (6) 6.2.2功能点1界面结构说明 (6) 6.2.3功能点2界面结构说明 (6)

系统软件详细设计说明书

系统软件详细设计说明书 1.引言 1.1编写目的 本详细设计说明书是针对网络信息体系结构的课程作业而编写。目的是对该项目进行详 细设计,在概要设计的基础上进一步明确系统结构,详细地介绍系统的各个模块,为进行后面的实现和测试作准备。本详细设计说明书的预期读者为本项目小组的成员以及对该系统感兴趣,在以后想对系统进行扩展和维护的人员。 2.系统的结构 ui :系统界面部分,负责接受用户输入,显示系统输出,负责其他模块功能的协调调用,并含有站内搜索功能,即在用户指定的已打开的ftp站点中搜索用户需要的资源。ui 部分调用common部分的功能读取xml文件中保存的界面元素属性信息,用户最近访问过的10个ftp信息,用户选择的下载的ftp内容列表及其他需要通过xml文件保存的信息。 client :实现ftp客户端的功能,ftp连接,ftp上传及下载:上传或下载用户指定的

资源,并返回相应的信息。 search: 资源实时检索部分,根据用户输入的资源名称关键字,资源类型和选择的检索方式检索用户需要的资源,并验证资源的可用性,返回可用资源及其大小,速度等相关信息。 preview :资源预览部分,显示用户选择的资源的部分内容,以使用户决定是否需要该资源。 preview部分调用comm on部分读取属性文件的内容亦显示预览资源内容的显示格式。 3.模块1(ui )设计说明 3.1 模块描述实现用户界面的包,含有11个文件51 个类,是本系统中最复杂的代码。 3.2 功能负责接受用户输入,显示系统输出,其他模块功能的协调调用,并含有站内搜索功能,即在用户指定的已打开的ftp 站点中搜索用户需要的资源。 3.3 交互的模块 client ,search ,preview ,common。 3.4 模块设计该模块中的主要文件,文件中包含的主要类及其功能和与其它包的交互如下: MainFrame.java :MainFrame 是含有主函数的类,也是lyra 客户端开始执行的类,它先后进行资源的初始化,显示主界面等工作,根据屏幕大小设置界面大小,设置界面的观感。 FtpFrame_AboutBox.java: 显示关于窗口的类,当用户点击帮助菜单中的关于菜单项时会 弹出关于对话框。 Tools.java :FileTools 是文件操作辅助类,可以实现文件的递归删除等。 XMLController.java: JDOMTes是操作xml文件的类,用JDOM来操作xml文件, 实现的功能有: (1)保存ftp 服务器的文件列表(站内搜索时使用),递归的从ftp 服务器读取列表,并存入一个xml文件中(文件的命名方法是:ip+用户名.xml);以目录树的形式保存。 (2)根据文件名在文件中查找文件,站内搜索时使用。 (3)保存ftp 服务器的信息:ip ,端口(默认端口21 不保存),用户名(默认anonymous 不保存),密码,最多存10 个;存在resource\settings\ serversinfor.xml 文件中。 (4)读取已存储的ftp 服务器信息。 (5)从type.xml 读取搜索的类型。 Constants.java: 放置系统运行时使用的一些常量,initcontent ()函数对所有常量进行初始化,这个函数在MainFrame 中被调用一次。iconHashMap 是hash 表,用于存放文件的系统图标。 CustomizedController.java :包含自定义的控件类,java 中的控件可能不能满足需求,需要自己定义某些属性。这些控件会在创建界面时使用。其中含有的类有: (1)CustomizedJTable 是表格类,设置表格的某些属性,如字体等。 (2)CustomizedTableCellRenderer 是表格单元格绘制器类,主要用于显示文件的系统图标,和文件名。 (3)LeftPanel类的父类是JTabbedPane,用户显示主窗口左边的面板。 (4)RightPanel 类的父类是JPanel ,用户显示右边的主题部分,包括右上边的搜索及服务 器选项,和中间的显示服务器文件的TabbedPane。. (5)BottomPanel 类是右下放显示下载和服务器信息的JTabbedPane。 (6)CustomizedJButton 是定义按钮类,更改了按钮的字体,java 本身默认的字体不好看。 (7)CustomizedTableModel 是表格类,实现单元格的不可编辑。 (8 )CustomizedTableCellRenderer_Remote 类是表格绘制器,在远程文件浏览 器RemoteFilesPanel 使用,用于显示文件名和文件图标。

matlab课程设计报告书

《计算机仿真及应用》课程设计报告书 学号:08057102,08057127 班级:自动化081 姓名陈婷,万嘉

目录 一、设计思想 二、设计步骤 三、调试过程 四、结果分析 五、心得体会 六、参考文献

选题一、 考虑如下图所示的电机拖动控制系统模型,该系统有双输入,给定输入)(t R 和负载输入)(t M 。 1、 编制MATLAB 程序推导出该系统的传递函数矩阵。 2、 若常系数增益为:C 1=Ka =Km =1,Kr =3,C2=0.8,Kb =1.5,时间常数T 1=5, T 2=0.5,绘制该系统的根轨迹、求出闭环零极点,分析系统的稳定性。若)(t R 和)(t M 分别为单位阶跃输入,绘制出该系统的阶跃响应图。(要求C 1,Ka ,Km ,Kr ,C2,Kb , T 1,T 2所有参数都是可调的) 一.设计思想 题目分析: 系统为双输入单输出系统,采用分开计算,再叠加。 要求参数均为可调,而matlb 中不能计算未赋值的函数,那么我们可以把参数设置为可输入变量,运行期间根据要求赋值。 设计思路: 使用append 命令连接系统框图。 选择‘参数=input('inputanumber:')’实现参数可调。 采用的方案: 将结构框图每条支路稍作简化,建立各条支路连接关系构造函数,运行得出相应的传递函数。 在得出传递函数的基础上,使用相应的指令求出系统闭环零极点、画出其根轨迹。 通过判断极点是否在左半平面来编程判断其系统是否稳定。 二.设计步骤 (1)将各模块的通路排序编号

(2)使用append命令实现各模块未连接的系统矩阵 (3)指定连接关系 (4)使用connect命令构造整个系统的模型 三.调试过程 出现问题分析及解决办法: 在调试过程出现很多平时不注意且不易寻找的问题,例如输入的逗号和分号在系统运行时不支持中文格式,这时需要将其全部换成英文格式,此类的程序错误需要细心。 在实现参数可调时初始是将其设为常量,再将其赋值进行系统运行,这样参数可调性差,后用‘参数=input('inputanumber:')’实现。 最后是在建立通路连接关系时需要细心。 四.结果分析 源代码: Syms C1 C2 Ka Kr Km Kb T1 T2 C1=input('inputanumber:') C2=input('inputanumber:') Ka=input('inputanumber:') Kr=input('inputanumber:') Km=input('inputanumber:') Kb=input('inputanumber:') T1=input('inputanumber:') T2=input('inputanumber:') G1=tf(C1,[0 1]); G2=tf(Ka*Kr,[0 1]); G3=tf(Km,[T1 1]); G4=tf(1,[T2 1]); G5=tf(1,[1 0]); G6=tf(-C2,1); G7=tf(-Kb,1); G8=tf(-1,1); Sys=append(G1,G2,G3,G4,G5,G6,G7,G8) Q=[1 0 0;2 1 6;3 2 7;4 3 8;5 4 0;6 5 0;7 4 0;8 0 0;]; INPUTS1=1; OUTPUTS=5; Ga=connect(Sys,Q,INPUTS1,OUTPUTS) INPUTS2=8; OUTPUTS=5; Gb=connect(Sys,Q,INPUTS2,OUTPUTS) rlocus(Ga)

计算机网络课程设计报告

计算机网络课程设计报告 姓名:李逍逍 班级:08计11 学号:08261012

一.课程设计的题目、目的及要求 (2) 二.课程设计的内容(分析和设计) (3) 三.绘制拓扑结构图 (3) 四.详细设计步骤 (5) 五.路由器或交换机配置的代码 (6) 六.显示最终的结果 (8) 七.课程设计总结 (9)

一.课程设计的题目、目的及要求 课程设计题目:组建小区局域网 课程设计目的: 更深了解路由器,交换机,PC机之间的配置与应用,熟练掌握一些简单的的网络应用和连接,熟练掌握路由器和交换机的基本配置;掌握DHCP、ACL、VLAN、和NET协议和相应的技术;提高对实际网络问题的分析和解决能力。该设计需要划分为四个子网层面的小区性的网络通讯。采用软件cisco,可以更好的实现各种不同网络设备互相配合与联系,以达到最佳的局域网通讯效果。 课程设计要求: 要求能根据实际问题绘制拓扑结构图,拓扑结构图可以是树形、星形、网状形、环状形及混合形结构的之一,清晰的描述接口,进行路由器或交换机的代码配置实现,并且每个方案的需有以下几部分的内容: 1、需求特点描述; 2、设计原则; 3、解决方案设计,其中必须包含: (1)设备选型; (2)综合布线设计; (3)拓扑图; (4)IP地址规划; (5)子网划分; (6)路由协议的选择; (7)路由器配置。 组建小区局域网的总体要求: 运用自己对局域网组网技术的理解,设计小区组网方案,使得一个具有200个住户节点的智能化小区能够进行网络通讯,且将整个小区可划分为四个区域:1.网络中心区:以物业管理中心及监控中心为主的核心交换设备和服务器群;2.远程网络接入区:包括外部网络接入口的路由器设备和网络安全设备;3.园区网络区:包括从网络中心到社区服务设施的骨干交换设备; 4.家庭网络区:包括从网络中心到楼宇中的骨干交换设备,并为各住户单元提供网络接入端口,是整个小区网络系统的最基本单元。

详细设计说明书模板

修订历史记录 【模板使用必读:模板内容和页眉中【】包含内容为指导性的待替换文字,请在使用中替换为具体内容,或删除。文件提交时不得再含有这些内容。】

目录 1引言 (4) 1.1编写目的 (4) 1.2背景 (4) 1.3术语与缩写解释 (4) 1.4参考资料 (4) 2模块命名规则 (4) 3程序系统的组织结构 (5) 3.1子系统划分 (5) 3.2模块划分 (5) 3.3程序与功能需求、系统模块间的关系 (5) 4程序1(标识符)设计说明 (5) 4.1程序描述 (5) 4.2功能 (6) 4.3性能 (6) 4.4输人项 (6) 4.5输出项 (6) 4.6算法 (6) 4.7流程逻辑 (6) 4.8接口 (6) 4.9存储分配 (7) 4.10注释设计 (7) 4.11限制条件 (7) 4.12尚未解决的问题 (7) 5程序2(标识符)设计说明 (7)

引言 编写目的 【给出项目详细设计说明书的编写目的,同时指明读者对象。】 背景 【说明: a.待开发软件系统的名称; b.本项目的任务提出者、开发者、用户和运行该程序系统的计算中心。】 术语与缩写解释 【列出本文件中用到的专门术语的定义和外文首字母缩写的原词组。】 参考资料 【提示:可包括:(1)本项目经核准的计划任务书、需求规格说明书、合同、项目设计概要说明书或上级机关的批文;(2)本文档所引用的资料、规范等,列出这些资料的作者、标题、编号、发表日期、出版单位或资料来源。】

模块命名规则 【确定本软件的模块命名规则,例如类、函数、变量等,确保设计文档的风格保持一致。可以从机构的编码规范中摘取或引用。】 程序系统的组织结构 【用一系列图表列出本程序系统内的每个程序(包括每个模块和子程序)的名称、标识符和它们之间的层次结构关系。】 子系统划分 模块划分 程序与功能需求、系统模块间的关系 程序1(标识符)设计说明 【从本章开始,逐个地给出各个层次中的每个程序的设计考虑。以下给出的提纲是针对一般情况的。对于一个具体的模块,尤其是层次比较低的模块或子程序,其很多条目的内容往往与它所隶属的上一层模块的对应条目的内容相同,在这种情况下,只要简单地说明这一点即

软件系统详细设计说明书模板

xxxxx系统详细设计说明书

版本历史

修改记录

目录 1引言 (5) 1.1编写目的 (5) 1.2背景 (5) 1.3参考资料 (5) 1.4术语定义及说明 (5) 2设计概述 (5) 2.1任务和目标 (5) 2.1.1需求概述 (5) 2.1.2运行环境概述 (5) 2.1.3条件与限制 (6) 2.1.4详细设计方法和工具 (6) 3系统详细需求分析 (6) 3.1详细需求分析 (6) 3.2详细系统运行环境及限制条件分析接口需求分析 (6) 4总体方案确认 (6) 4.1系统总体结构确认 (6) 4.2系统详细界面划分 (7) 4.2.1应用系统与支撑系统的详细界面划分 (7) 4.2.2系统内部详细界面划分 (7) 5系统详细设计 (7) 5.1系统程序代码架构设计 (7) 5.1.1UI(User Interface)用户界面表示层 (7) 5.1.2BLL(Business Logic Layer)业务逻辑层 (8) 5.1.3DAL(Data Access Layer)数据访问层 (8) 5.1.4Common类库 (8) 5.1.5Entity Class实体类 (8) 5.2系统结构设计及子系统划分 (8) 5.3系统功能模块详细设计 (9) 5.3.1XX子系统 (9) .1XX模块 (9) 列表和分页 (9) 创建XX (9) .2XX模块 (9) XX列表 (9) XX修改 (9) 5.3.2XX子系统 (9) 5.3.6.1用户管理模块 (9) 5.3.6.2角色管理模块 (14) 5.3.6.3系统设置模块 (14) 5.3.6.4系统登录注销模块 (14) 5.4系统界面详细设计 (14) 5.4.1外部界面设计 (14) 5.4.2内部界面设计 (14) 5.4.3用户界面设计 (14) 6数据库系统设计 (14) 6.1设计要求 (14) 6.2信息模型设计 (14) 6.3数据库设计 (14) 6.3.1设计依据 (14)

系统详细设计说明书

XXXXXX XXXXXXXXXXXXX 项目名称 详细设计说明书 XXX公司 二〇XX年X月

文档修改记录

目录 第一章引言............................................. 错误!未定义书签。 目的............................................. 错误!未定义书签。 背景............................................. 错误!未定义书签。 术语定义......................................... 错误!未定义书签。 参考资料......................................... 错误!未定义书签。第二章系统概述......................................... 错误!未定义书签。第三章程序1设计说明................................... 错误!未定义书签。 程序描述......................................... 错误!未定义书签。 模块架构图 ................................... 错误!未定义书签。 功能 ......................................... 错误!未定义书签。 类图 ......................................... 错误!未定义书签。 增加功能(功能点) ........................... 错误!未定义书签。 程序流程 ..................................... 错误!未定义书签。 测试和限制条件 ............................... 错误!未定义书签。 备注 ......................................... 错误!未定义书签。第四章程序2设计说明................................... 错误!未定义书签。第五章公用接口程序说明................................. 错误!未定义书签。 全局变量......................................... 错误!未定义书签。 公用界面或接口................................... 错误!未定义书签。 公用方法和过程................................... 错误!未定义书签。第六章附件............................................. 错误!未定义书签。详细设计评审意见.......................................... 错误!未定义书签。

计算机仿真课程设计

附件1: 北京理工大学珠海学院 《计算机仿真》课程设计说明书题目: 控制系统建模、分析、设计和仿真 学院:信息学院 专业班级: 学号: 学生姓名: 指导教师: 2012年6 月16 日 附件2: 北京理工大学珠海学院 课程设计任务书 2011 ~2012 学年第2学期 学生姓名:专业班级: 指导教师:工作部门:信息学院 一、课程设计题目 《控制系统建模、分析、设计和仿真》 本课程设计共列出10个同等难度的设计题目,编号为:[0号题]、[1号题]、[2号题]、[3号题]、[4号题]、[5号题]、[6号题]、[7号题]、[8号题]、[9号题]。 学生必须选择与学号尾数相同的题目完成课程设计。例如,学号为8xxxxxxxxx2的学生必须选做[2号题]。

[0号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用零阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [1号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用一阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [2号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用零阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [3号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用一阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [4号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用零阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [5号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用一阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹 控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [6号题] 控制系统建模、分析、设计和仿真 设连续被控对象的实测传递函数为: 用零阶保持器离散化,采样周期取秒,分别设计一单位加速度信号输入时的最少拍有波纹 控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。具体要求见(二)。 [7号题] 控制系统建模、分析、设计和仿真

计算机课程设计报告书

学号 理工大学华夏学院 课程设计 课程名称办公自动化实训 题目1.流程图的绘制 2. 演讲稿的制作 专业软件工程 班级软件1111 姓名王鑫 成绩 _________________ 指导教师 __ ______ 2012年元月2日至2012年元月6日

课程设计任务书 学生:王鑫专业班级:软件1111 指导教师:黄启荃工作单位:理工大学华夏学院 设计题目:程序流程图的绘制 初始条件: 已掌握Office 2003办公自动化软件的应用 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 主要任务: 任务描述:已知某班50个学生考试了4门课程,要求绘制一个程序流程图,实现下列功能:1.求每个人的平均成绩; 2.将平均成绩进行降序排序,并将学号与平均成绩按降序输出完成: 1 完成整个规定任务的设计及调试,得出正确结果,并经教师检查及答辩; 2. 写出规的课程设计说明书; 3. 课程设计结束后交设计说明书等文档和设计容。 4. 从元月3日起,学生每天至少要到设计教室半天以上; 设计报告撰写格式要求: 设计报告的主要容是详细写出在设计过程中所用到的主要技术或方法; 课程设计报告按国际通用格式书写, 具体格式要求请见资料:“课程设计说明书的书写容与格式” 时间安排: 第一天:学生先在实验室集中,由指导教师介绍实训目的、布置任务后选题; 第二天-第四天:学生在实验室完成设计,经教师检查并回答提问,确认设计完成; 第五天:教师在计算机上先检查设计报告、学生修改后打印提交 指导教师签字: 2011年12月26日 系主任签字: 2011年12月29日

相关文档
最新文档