《星界边境》不用任何逻辑门制作电梯思路

《星界边境》不用任何逻辑门制作电梯思路
《星界边境》不用任何逻辑门制作电梯思路

《星界边境》不用任何逻辑门制作电梯思路

《星界边境》中电梯怎么做?下面带来玩家“seadnew”分享的《星界边境》不用任何逻辑门制作电梯思路,一起来看吧。

核心就是这个叫轨道切换器的东西

假设你做了一个三层的电梯,希望达到的效果应该是:人在1楼电梯不在你跟前,你按了按钮电梯不管停在2楼或3楼都得来1楼,并且电梯在2楼时要能直接下1,而不是先到3再到1而不需要逻辑门的原因是上述效果仅仅通过两个轨道切换器的先后开关来做到。

(还有一点是,人在1楼时,“按按钮让电梯从3楼到2楼”的功能完全没有实际意义,不需要实现)

距离来说,比如下图中人在1楼时,电梯如果在2,就要让上方的轨道切换器先不开,下方

的开,激活2楼绿灯后电梯就会下来

而如果想要同时保证人在1楼电梯从3楼也可以直接到1楼,只需要让上方的轨道切换器接延时开关后再打开(如果轨道较长可再接个倒数开关保证开启时间充足),反之亦然。

这样最后做出来的电梯只用到了倒数和延时原件,由于没有用逻辑门电路图也就不用发了,新手完全可以一层一层制作。

后已改造为4层,依然没用到逻辑门,但加入了“探测是否有平台经过”的感受器

思路依然跟原来一样,比如人在1想让电梯从234下来,手动控制停靠点(红点)下方,也就是朝向你方向的轨道切换器,然后停靠点另一侧的推到切换器由平台感受器来控制,有平台从停靠点另一侧经过时,打开轨道切换器1秒

整理清楚了就是线多一点,有耐心的话并不难

更多相关资讯请关注:星界边境专题

加了轨道切换器【具体能做到什么】我还是放视频吧,不然大家似乎都压根没看我开篇说的

我再解释一遍吧。。。rail switch,就最顶上那个,十字形状的东西,那东西没弄懂的你就理解为是交警,他可以【封路】

所以你临着停靠点上下各安排一个交警,靠电路弄出【上面的路被交警封了,下面的才让走】的情况,还有什么2到3,2到1的问题?交警控制着呢

更多相关资讯请关注:星界边境专题

电梯控制电路设计

- 电子技术课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录

一.课程设计目的 (5) 二.课程设计的容及要求 (5) 2.1课程设计容 (5) 2.2课程设计要求 (5) 三.正文部分 (7) 3.1按键控制模块................................................................................................... (7) 3.1.1目标楼层号按钮编码电路................................................. (7) 3.1.2比较制动电 路........................................................................................... (10) 3.1.3与逻辑起动控 制........................................................................................... .. .11 3.2数码管显示模块 (12) 3.2.1显示译码器组成电路如下 (12) 3.2.2 CD4510(可逆计数器)组成电路 (16) 3.3脉冲发生器模块 (17) 3.3.1、0.2S脉冲发生器 (17) 3.3.2、6S脉冲发生器 (18) 3.4、LED滚动显示模块 (19)

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

三层电梯控制电路(word文档良心出品)

三层电梯控制电路设计 .设计要求 每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请 设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。 电梯 每秒升(降)一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒 后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留 在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保 留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制 器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示 器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。 分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。 由于分控制器相对简单 很多,所以主控制器是核心部分。 1. 求开 关。 2. 3. 4. 只响应比电梯所在位置高的上 如果咼层有 VHDL 语言 ,通过对三层 图1.电梯控制器原理图

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

PLC电梯控制系统的设计

河南工业职业技术学院 毕业设计 题目 PLC电梯控制系统的设计系院电气工程系 专业 班级 学生姓名 学号 指导教师

前言 随着电子技术的发展,当前数字电器系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流发展的引擎就是日趋进步和完善的PLC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能的要求,自上而下的完成相应的描述、综合、优化、仿真与验证,直接生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计几乎都可以用计算机来自动完成,也就说做到了电器设计自动化这样做可以大大的缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求。 电器设计自动化的关键技术之一是要求用形式化的方法来描述数字系统的硬件电路,即要用所谓的硬件语言来描述硬件电路。所谓硬件描述语言及相关的仿真、综合等技术的研究是当今电器设计自动化领域的一个重要课题。 PLC的设计和开发,已经有多种类型和款式。传统的PLC各有特点,它们适合在现场做手工测量,要完成远程测量并要对测量数据做进一步分析处理,传统PLC是无法完成的。然而基于PC 通信的PLC,既可以完成测量数据的传递,又可借助PC,做测量数据的处理。所以这种类型的PLC无论在功能和实际应用上,都具有传统PLC无法比拟的特点,这使得它的开发和应用具有良好的前景。

目录 1.前言 2.电梯控制基本概念 3.电梯控制的组成 4.电梯控制的移动 5.电梯PLC系统的模拟组态 6.货运电梯重量超载的控制 7.总结 8.参考文献

2. PLC电梯控制的基本概念 电梯控制系统可分为电力拖动系统和电气控制系统两个主要部分。电力拖动系统主要包括电梯垂直方向主拖动电路和轿箱开关电路。二者均采用易于控制的直流电动机作为拖动动力源。主拖动电路采用PWM调试方式,达到了无级调速的目的。而开关门电路上电机仅需一种速度进行运动。电气控制系统则由众多呼叫按钮、传感器、控制用继电器、指示灯、LED七段数码管和控制部分的核心器件(PLD)等组成。PLC集信号采集、信号输出及逻辑控制于一体,与电梯电力拖动系统一起实现了电梯控制的所有功能。 电梯控制系统原理框图如图1所示,主要由轿箱内指令电路、门厅呼叫电路、主拖动电机电路、开关门电路、档层显示电路、按钮记忆灯电路、楼层检测与平层检测传感器及PLC电路等组成的。 电梯控制系统的硬件结构如图2所示。包括按钮编码输入电路、楼层传感器检测电路、发光二极管记忆灯电路、PWM控制直流电机无线调速电路、轿箱开关电路、楼层显示电路及一些其他辅助电路等。为减少PLC输入输出点数,采用编码的方式将31个呼叫及指层按钮编码五位二进制码输入PLC PLC系统的其它设备 1 编程设备:编程器是PLC开发应用、监测运行、检查维护不可缺少的器件,用于编程、对系统作一些设定、监控PLC及PLC 所控制的系统的工作状况,但它不直接参与现场控制运行。小编程器PLC一般有手持型编程器,目前一般由计算机(运行编程软件)充当编程器。 2 人机界面:最简单的人机界面是指示灯和按钮,目前液晶屏(或触摸屏)式的一体式操作员终端应用越来越广泛,由计算机(运行组态软件)充当人机界面非常普及。 3 输入输出设备:用于永久性地存储用户数据,如EPROM、EEPROM写入器、条码阅读器,输入模拟量的电位器,打印机等。

电梯控制系统方案

深圳市博思凯电子有限公司XX小区 BOSK电梯管理系统方案

目录 第一章概述 (3) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (5) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (6) 4.3系统结构 (8) 第五章设备介绍 (16) 8.DPU-9906楼层信号采集器 (18) 第六章工作原理 (20) 第七章系统设备清单及价格 (23) 第八章工程实施 (24) 第九章售后服务 (26) 第十章质量保证 (27)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。LMS9905是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用LMS9905对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 对于访客管理,采用与对讲系统联动控制,即访客接通住户室内分机通话后,住户通过室内分机旁的电梯按钮将信号发送给IC卡层控电梯系统,系统接收到住户的开梯信号后,启动电梯下到一层,并开放住户层(其它层不开放),访客即可进入轿箱按下住户层键,启动电梯只上到住户层。 通过IC卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级。 LMS9905基于ELSECURE-lift控制软件平台使用的一个控制模块,它与DPU 系列门禁控制系统相互兼容,可以与DPU9906控制器结合对讲系统,组成一个强大的保安系统网络,来对访客进行有效使用的管理。也可以独立使用来控制电梯。LMS9905可在线运行,可以单机独立运行,即使关闭PC机,LMS9905也可以正

电梯控制电路设计

电子技术 课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录 一.课程设计目的 (5) 二.课程设计的内容及要求 (5) 课程设计内容 (5)

课程设计要求 (5) 三.正文部分 (7) 按键控制模块......................................................................... .. (7) 目标楼层号按钮编码电路 ....................................... (7) 比较制动电路................................................................... (10) 与逻辑起动控制................................................................... .......................... .11 数码管显示模块 (12) 显示译码器组成电路如下 (12) CD4510(可逆计数器)组成电路 (16) 脉冲发生器模块 (17) 、脉冲发生器 (17) 、6S脉冲发生器 (18) 、LED滚动显示模块 (19) 、LED显示电路译码器 (19) 三位二进制可逆计数器 (20) 、LED显示器 (22) 原理图 (23) 四.设计总结 (23) 附录1.整体电路图 (24)

电梯控制程序源代码(带流程图-功能分解、源代码)

《综合电子创新训练》研究报告研究题目:CTS1600-1控制技术综合试验 院系名称: 专业名称: 学生姓名: 指导教师: xxxx年 xx月 xx日 xxxxxxxxxx

目录 第一章绪论 (1) 1.1课题背景与目的 (1) 1.2课题研究方法 (1) 第二章电梯模型硬件设备 (2) 2.1 实验单片机模型与接口定义 (2) 2.1.1 实验用单片机 (2) 2.1.2 单片机接口定义 (3) 2.1.3 I/O接口DATA控制命令表 (4) 2.2 电梯控制命令说明 (6) 2.3 实验用电梯模型 (8) 第三章与电梯模型相关的实验程序 (10) 3.1数码管连续显示 (10) 3.1.1 程序流程图 (10) 3.1.2 功能简介 (10) 3.1.3 功能实现过程 (11) 3.1.4 问题的解决及收获 (11) 3.2 外部按键灯连续闪烁 (12) 3.2.1 程序流程图 (12) 3.2.2 功能简介 (12) 3.2.3 功能实现过程 (12) 3.2.4 问题的解决及收获 (13) 3.3 键、灯、数码管 (14)

3.3.2 功能简介 (14) 3.3.3 功能实现过程 (14) 3.3.4 问题的解决及收获 (15) 3.4 外部按键上下行 (16) 3.4.1 程序流程图 (16) 3.4.2 功能简介 (16) 3.4.3 功能实现过程 (17) 3.4.4 问题的解决及收获 (18) 3.5 计算器 (19) 3.5.1 程序流程图 (19) 3.5.2 功能简介 (21) 3.5.3 功能实现过程 (21) 3.5.4 问题的解决及收获 (22) 3.6 密码锁 (23) 3.6.1程序流程图 (23) 3.6.2功能简介 (23) 3.6.3实现功能过程 (24) 3.6.4问题的解决及收获 (24) 3.7逐层停自动开关门循环 (25) 3.7.1程序流程图 (25) 3.7.2功能简介 (27) 3.7.3实现功能过程 (27) 3.7.4问题的解决及收获 (27) 3.8 可记录顺序逐层停自动开关门 (28)

三层电梯控制电路设计

三层电梯控制电路设计 一. 设计要求 1. 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2. 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。 3. 电梯每秒升(降)一层楼。 4. 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性, 通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图1. 电梯控制器原理图

四. 三层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwaitl)、开门等 待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。 在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup和cleardn信号来控制。 在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个 状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定 下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对 信号的判断,决定电梯是上升、下降还是停止。 本设计需要完成的任务是编写VHDL代码来模拟现实中的三层电梯工作。在 点阵上显示电梯所在的楼层,当其它楼层有上或下的请求信号时,表示该楼层上 或下的绿色或黄色指示灯亮,电梯开始上或下运行,当到达该楼层时,表示该楼 层上或下的绿色或黄色指示灯灭,表示到达该楼层的红色指示灯亮,点阵显示楼 层数,红色指示灯灭。 五. vhdl源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity elevator is port( clk : in std_logic; --Clock Signal k1,k2u,k2d,k3 : in std_logic; --Push button d1,d2u,d2d,d3 : out std_logic; --Led of every floor door1,door2,door3 : buffer std_logic; --door led r0,r1,r2,r3,r4,r5,r6,r7 : out std_logic; --7 segment driver sa,sb,sc : out std_logic); --Display Select end elevator; -------------------------------------------------------------------- architecture behave of elevator is signal state1,state3 : std_logic;

三层电梯控制设计

三层电梯控制设计 Prepared on 24 November 2020

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量

基于FPGA的电梯控制器设计说明

唐山学院 EDA技术课程设计 题目基于FPGA的电梯控制器设计 系 (部) 信息工程系 班级 姓名 学号 指导教师 2013 年 1 月 7 日至 1 月 11 日共 1 周 2013年 1 月 10 日

《EDA技术》课程设计任务书

课程设计成绩评定表

目录 1 前言 (1) 2 EDA技术介绍 (2) 2.1 EDA技术简介 (2) 2.2 EDA技术的发展 (2) 3 电梯控制器设计 (3) 3.1 电梯控制器设计总体框图 (3) 3.2 电梯控制器设计思路 (3) 3.3 电梯处于各楼层的具体分析 (4) 3.4 电梯外部端口具体说明 (6) 3.4.1 定义各个端口 (6) 3.4.2 引脚锁定 (6) 4 仿真结果与说明 (8) 5 总结 (10) 参考文献 (11) 附录程序代码 (12)

1 前言 当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(“早期安装的电梯多位继电器控制系统”)、FPGA/CPLD的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 随着EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。采用EDA设计,拥有电子系统小型化、低功耗、高可靠性、开发过程投资小、周期短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般方便快捷。本次设计就是应用EDA电子电路技术来设计电梯控制器,从而使用一片芯片就可以实现对电梯的控制的。

单片机的电梯控制设计

单片机原理及系统课程设计 专业:自动化 班级:动1101 姓名:孙海文 学号: 指导教师:赵峰 兰州交通大学自动化与电气工程学院 2014年1月15日 1 设计任务及要求 设计题目 基于单片机的三层电梯控制模型的设计 设计具体要求

(1) 用户可通过按键发出请求; (2) 可显示电梯所在楼层; (3) 满足用户升降要求; (4) 显示电梯升降超重状态; 2 所用器件原理介绍 AT89C51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP的可反复擦写1000次的Flash只读程序存储器。AT89C51是低功耗、高性能的CMOS型8位单片机。片内带有8KB的Flash存储器,且允许在系统内改写或用编程器编程。片内有128B 的RAM、32条I/O口线、2个16位定时计数器、5个中断源、一个全双工串行口等,完全满足该课题的需要。 3 系统设计 本系统以AT89C51单片机的电梯自动控制系统为核心,有硬件设计,软件设计,软件调试等几个部分。数码管显示实时楼层,单片机通过扫描按键指示灯来读取请求,根据所在楼层的不同,进行不同的分析、调度,然后做出正确的响应,更新状态并在指示灯和数码管上显示出来,还有报警器的设计,上下呼叫指示灯的部分组成。 总体设计框图如图1所示。 图1 总体设计框图 硬件设计 (1) AT89C51接口 由单片机的P0口控制数码管的位码,P1口控制数据的读取,P2口控制电机运行状态、电梯运行状态和蜂鸣器状态。 (2) 按键控制图 为了节省单片机的接口,故选用行列键盘矩阵,本电路初始按键一端都加低电平,有按键按下时,电路导通给输入口P1输入低电平信号,然后根据按键不同功能实现电梯的控制。 按键控制电路如图2所示。 图2 按键控制图 (3) LED指示灯 在本次设计中电机正反转用两个发光管来表示,用单片机的P1口做输入口来输入,其中L1亮表示电机正转电梯向上运行,L2亮表示电机反转电梯向下运行,L3亮表示超重。当电梯超重时,单片机产生低电平由P25口输出,同时由P17,P37口输出高电平,以此控制LED指示灯。 LED指示灯如图3所示。

电梯控制流程图

4.4 流程图 电梯上下行流程图见图4-4。假设电梯停在N(N=1,2,3,4)楼,M楼有信号,M >N时,电梯上行;M<N时,电梯下行。 图4-4 电梯上下行流程图

在电梯运行过程中,电梯上升(或下降)途中,任何反方向下降(或上升)的外呼梯信号均不响应,但如果反向外呼梯信号前方向无其它内、外呼梯信号时,则电梯响应该外号。 电梯应具有最远反向外梯响应功能。例如:电梯在一楼,而同时有二层向下外呼梯,三层向下外呼梯,四层向下外呼梯,则电梯先去四楼响应四层向下外呼梯信号。 电梯响应流程图见图4-5。 图4-5 电梯响应流程图

当电梯到达系统控制的目标楼层时,控制系统发出开门信号,电梯门开,当门开到开门限位时,计时3秒钟,然后关门,直到关门限位产生信号。此过程期间,按开门按钮电梯门打开,按关门电梯门关闭,并且当门关闭动作时,门间来人会使光电传感器产生信号,控制系统发出开门信号,电梯开关门流程图见图4-6。 图4-6 电梯开关门流程图 4.5 操作原理简要说明

电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S4,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示、L4为四层指示,SQ1~SQ4为到位行程开关。具体如下: 1、开始时,电梯处于任意一层。 2、当有外呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时3S后自动关门。 3、当有内呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时3S后自动关门。 4、在电梯运行过程中,电梯上升(或下降)途中,任何反方向下降(或上升)的外呼梯信号均不响应,但如果反向外呼梯信号前方向无其它内、外呼梯信号时,则电梯响应该外号。 5、电梯应具有最远反向外梯响应功能。例如:电梯在一楼,而同时有二层向下外呼梯,三层向下外呼梯,四层向下外呼梯,则电梯先去四楼响应四层向下外呼梯信号。 6、电梯未平层或运行时,开门按钮和关门按钮均不起作用。平层且电梯停止运行后,按开门按钮电梯门打开,按关门电梯门关闭。 【本文档内容可以自由复制内容或自由编辑修改内容期待你的好评和关注,我们将会做得更好】 感谢您的支持与配合,我们会努力把内容做得更好!

电梯控制流程图

广东工程职业技术学院200-200学年度第学期《》期末考试试题 页脚内容1 4.4流程图 电梯上下行流程图见图4-4。假设电梯停在N (N=1,2,3,4)楼,M 楼有信号,M >N 时,电梯上行;M <N 时,电梯下行。 图4-4电梯上下行流程图 在电梯运行过程中,电梯上升(或下降)途中,任何反方向下降(或上升)的外呼梯信号均不响应,但如果反向外呼梯信号前方向无其它内、外呼梯信号时,则电梯响应该外号。 电梯应具有最远反向外梯响应功能。例如:电梯在一楼,而同时有二层向下外呼梯,三层向下外呼梯,四层向下外呼梯,则电梯先去四楼响应四层向下外呼梯信号。 电梯响应流程图见图4-5。 图4-5电梯响应流程图 当电梯到达系统控制的目标楼层时,控制系统发出开门信号,电梯门开,当门开到开门限位时,计时3秒钟,然后关门,直到关门限位产生信号。此过程期间,按开门按钮电梯门打开,按关门电梯门关闭,并且当门关闭动作时,门间来人会使光电传感器产生信号,控制系统发出开门信号,电梯开关门流程图见图4-6。 图4-6电梯开关门流程图 4.5操作原理简要说明 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S4,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示、L4为四层指示,SQ1~SQ4为到位行程开关。具体如下: 1、开始时,电梯处于任意一层。 2、当有外呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时3S 后自动关门。 3、当有内呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时3S 后自动关门。 4、在电梯运行过程中,电梯上升(或下降)途中,任何反方向下降(或上升)的外呼梯信号均不响应,但如果反向外呼梯信号前方向无其它内、外呼梯信号时,则电梯响应该外号。 5、电梯应具有最远反向外梯响应功能。例如:电梯在一楼,而同时有二层向下外呼梯,三层向下外呼梯,四层向下外呼梯,则电梯先去四楼响应四层向下外呼梯信号。 6、电梯未平层或运行时,开门按钮和关门按钮均不起作用。平层且电梯停止运行后,按开门按钮电梯门打开,按关门电梯门关闭。

电梯控制显示电路设计报告

信息与电气工程学院 课程设计说明书(2008/2009学年第二学期) 课程名称:电子技术课程设计 题目:电梯控制显示电路设计 专业班级: 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 年月日

目录 题目集中控制电梯的控制、信号显示电路设计 (3) 一、课程设计目的: (3) 二、课程设计的内容及要求 (3) (一)课程设计内容: (3) (二)课程设计要求: (3) 三、正文部分 (5) 3.1按键控制模块 (5) 3.1.1目标楼层号按钮编码电路 (5) 3.1.2比较制动电路 (7) 3.1.3与逻辑起动控制 (8) 3.2数码管显示模块 (9) 3.2.1显示译码器组成电路如下: (9) 3.2.2 CD4510(可逆计数器)组成电路 (12) 3.3脉冲发生器模块 (14) 3.3.1、0.2S脉冲发生器 (14) 3.3.2、6S脉冲发生器 (15) 3.4、LED滚动显示模块 (16) 3.4.1、LED显示电路译码器 (16) 3.4.2三位二进制可逆计数器 (18) 3.4.3、LED显示器 (19) 3.5原理图与PCB图 (19) 四、结论与心得 (20) 五、参考文献 (20) 附录1 (21) 附录2 (22)

题目二集中控制电梯的控制、信号显示电路设计 课程编号: 课程名称:电子技术课程设计 周数/学分:2周/学分 先修课程:模拟电子技术和数字电子技术 专业班级: 07自动化02班 指导教师:于春建 一、课程设计目的: 通过本课程设计,使学生加强对电子技术课程内容的理解和掌握,学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力。 二、课程设计的内容及要求: (一)课程设计内容: 设计集中控制电梯的控制、信号显示电路 (二)课程设计要求: 1、基本技术要求: (1)设计模拟位移传感器信号的脉冲发生器,脉冲周期为6秒; (2)设计由模拟位移传感器信号的脉冲发生器驱动的楼层号数码管显示电路,设置楼层号0~7号(0号为地下室); (3)设计LED滚动箭头方向显示电路; (4)设计电梯集中控制电路,要求具有以下功能: ①采用起动键和楼层号键实现起动控制(两种键均按下时电梯起动); ②电梯起动后LED滚动箭头方向显示电路开始按图5(A)或图5(B)从起始状态工作,循环周期为1.6秒(每个图案显示0.2秒)。当电梯停止时,要求复位起始状态; ③电梯运行时,楼层号数码管显示电路在电梯到达某层时(对应模拟位移传感器信号的脉冲发生器输出的下降沿)显示该层楼号; ④电梯运行至目标楼层时,电梯自动停止;

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

电梯控制程序源代码(带流程图-功能分解、源代码)

电梯控制程序源代码(带流程图-功能分解、源代码)

————————————————————————————————作者:————————————————————————————————日期:

《综合电子创新训练》研究报告研究题目:CTS1600-1控制技术综合试验 院系名称: 专业名称: 学生姓名: 指导教师: xxxx年 xx月 xx日 xxxxxxxxxx

目录 第一章绪论 (1) 1.1课题背景与目的 (1) 1.2课题研究方法 (1) 第二章电梯模型硬件设备 (2) 2.1 实验单片机模型与接口定义 (2) 2.1.1 实验用单片机 (2) 2.1.2 单片机接口定义 (3) 2.1.3 I/O接口DATA控制命令表 (4) 2.2 电梯控制命令说明 (6) 2.3 实验用电梯模型 (8) 第三章与电梯模型相关的实验程序 (10) 3.1数码管连续显示 (10) 3.1.1 程序流程图 (10) 3.1.2 功能简介 (11) 3.1.3 功能实现过程 (11) 3.1.4 问题的解决及收获 (11) 3.2 外部按键灯连续闪烁 (12) 3.2.1 程序流程图 (12) 3.2.2 功能简介 (12) 3.2.3 功能实现过程 (12) 3.2.4 问题的解决及收获 (13) 3.3 键、灯、数码管 (15)

3.3.2 功能简介 (15) 3.3.3 功能实现过程 (15) 3.3.4 问题的解决及收获 (16) 3.4 外部按键上下行 (17) 3.4.1 程序流程图 (17) 3.4.2 功能简介 (18) 3.4.3 功能实现过程 (19) 3.4.4 问题的解决及收获 (20) 3.5 计算器 (21) 3.5.1 程序流程图 (21) 3.5.2 功能简介 (23) 3.5.3 功能实现过程 (23) 3.5.4 问题的解决及收获 (24) 3.6 密码锁 (25) 3.6.1程序流程图 (25) 3.6.2功能简介 (26) 3.6.3实现功能过程 (27) 3.6.4问题的解决及收获 (27) 3.7逐层停自动开关门循环 (28) 3.7.1程序流程图 (28) 3.7.2功能简介 (31) 3.7.3实现功能过程 (31) 3.7.4问题的解决及收获 (31) 3.8 可记录顺序逐层停自动开关门 (32)

电梯控制电路设计..

{ 电子技术 课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生姓名: . 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录 一.课程设计目的 (5) 二.课程设计的内容及要求 (5) 课程设计内容 (5)

课程设计要求 (5) 三.正文部分 (7) 按键控制模块......................................................................... .. (7) 目标楼层号按钮编码电路 ....................................... (7) 比较制动电路................................................................... (10) 与逻辑起动控制................................................................... .......................... .11 数码管显示模块 (12) 显示译码器组成电路如下 (12) CD4510(可逆计数器)组成电路 (16) 脉冲发生器模块 (17) 、脉冲发生器 (17) 、6S脉冲发生器 (18) 、LED滚动显示模块 (19) 、LED显示电路译码器 (19) 三位二进制可逆计数器 (20) 、LED显示器 (22) 原理图 (23) 四.设计总结 (23) 附录1.整体电路图 (24)

相关文档
最新文档