数字频率计的说明书

数字频率计的说明书
数字频率计的说明书

目录页数

1. 产品介绍.................................................................................

1-1.简述……….……………………………………………….

1-2.特性…...…………………………………………………... 1 1 1

2. 技术规格 (2)

3. 使用前之注意事项……………………………….…………...

3-1.拆开包装…………………..………………….…………...

3-2.使用电源…………………...…………………..………….

3-3.设备安装和操作………………………...………………...

3-4.预备工作………………………………………………….. 3 3 3 4 4

4. 面板介绍 (5)

5. 应用…………………………………………………………….

5-1.灵敏度………..……………………………………………

5-2.输入灵敏度特性………………...………………………...

5-3.最大输入电压…………..………………………………...

5-4.典型应用…………………………………………………..

7 7

10

11 11

6. 电路描述…………………..……………………………...…...

6-1.工作原理……………………………..…………………....

6-2.频率测量精度 (13)

13

14

7. 维护……………..……………………………………………..

7-1.标准的校准方法………………….………………………

7-2.清洁…..…………………………………………………... 18 18 18

1. 产品介绍

1-1.简述

GFC-8010H是一台高输入灵敏度20mVrms, 测量范围

0.1Hz~120MHz的综合计频器.最新半导体技术的应用使仪器

具备简洁,高性能,高分辨率和高稳定性的特点.

1-2. 特性

另外,此计频器还具备以下特性:

●分辨率高达1μHz.

●线性滤波器被密封在静电噪声区以扺制噪声的影响.

●低通滤波器保证了低频的精确测量.

●结构简洁,重量轻.

●低功率消耗.

●高质量的晶体保证了精确的频率测量.

1

2. 技术规格

灵敏度(rms) 10Hz~10MHz 10mV 10MHz~40MHz 20mV 40MHz~80MHz 35mV 80MHz~120MHz 50mV

输入阻抗1MΩ35pF.

最大输入电压150Vrms.

耦合系统交流耦合

时基晶振频率: 10MHz.

老化率: ±1×10-6/月.

温漂: 25℃±5℃±5×10-6.

0℃~50℃±2×10-5. 精度1Hz + 1位数+时基误差

计数容量8个十进制位

显示系统数字发光二极管显示

闸门时间0.1 s, 1 s, 10 s 开关可选

最大分辨率1μHz (10Hz 范围,10s门时间) 0.1Hz (100MHz范围,10s门时间)

工作温度范围0℃~40℃

储存温度范围-10℃~+70℃

功率消耗大约5W.

电源要求100V, 120V/220V/230V±10%, 50/60Hz. 尺寸大约245(W) × 95(H) × 280(D) m/m.

重量大约1.7kgs.

附件操作手册……………………× 1 测试线GTL-101 …………..× 1

2

3

3.使用前之注意事项 3-1. 拆开包装

仪器在出厂前已被检测过.收到仪器后,请打开包装检查是否有运输过程中造成的损坏.若有,请及时与运货商或供货商联系.

3-2. 使用电源

仪器的使用电源可以是以下表格中任一种.请检查后面板上所标示的电源并替换相应的保险丝.

警告: 为避免电击, 请务必将电源线保护接地端子接地.

当使用电源改变,请按以下表格所示替换保险丝:

使用电源 范围 保险丝 使用电源 范围

保险丝

100V 120V 90-110V 108-132V T160mA 250V 220V 230V 198-242V 207-253V T100mA 250V

警告: 为避免人身伤害,换保险丝前请不要连接电源线.

3-3.设备安装和操作

请确保该仪器在适当的环境下使用.如果该仪器的使用未遵循规定,可能会造成对仪器的损坏.

3-4.预备工作

1)当阻抗是1MΩ,最大输入电压取决于频率和SENSITIVITY开

关的位置,其相互关系如图6所示,此图表中的值须严格对应.初始时将SENSITIVITY开关打到1/10,如果此计频器不计数,将SENSITIVITY开关打到1/1范围并进行测量.此步骤可以降低损坏输入电路的危险性.

2)选择交流电源100V, 120V, 220V, 或230V±10%.

3)在0~40℃的环境温度下使用该计数器. 不要将仪器放在高温

设备的顶上, 并保证仪器周围环境的通风.

4)不要让水渗进仪器,也不要剧烈振动仪器.

5)若仪器在特别嘈杂的环境中使用,在电源里加入噪声滤波器.

6)测量低频时,按下低通滤波器开关,可以削弱高频成分,以防止可

能出现错误触发.

4

4. 面板介绍

(1). Counter Input BNC 型接口

(2). ATT, 1/1, 1/10 输入灵敏度(衰减)按钮.

1/1 : 输入信号被直接连接到输入放大器.

1/10: 输入信号衰减率为10.

(3). LPF ON/OFF 低频测量时,将此键打到ON位置,插入输入信道

一个100KHz低通滤波器.

(4). FREQ/PRID 用此键选择频率测量或周期测量.

(5). Gate Time

Selector

用此按钮选择10s,1s或0.1s的门时间.

(6). Power ON/OFF 电源开或关用此按钮.

(7). Gate Time(LED) 显示设定的闸门时间10s,1s或0.1s

(8). Over (LED) Over 指示灯亮表示一个或多个有效数字无法显

示.

(9). Displayed (LED) 频率值以8位数字显示.

(10) Exponent and

units

(LED) LED指示灯显示单位S和Hz,指示测量值指数如下:

k=1000 M=1,000,000

G=1,000,000,000 m=1/1000 μ=1/1,000,000 n=1/1,000,000,000

5

前面板

图1. 前面板

6

5. 应用

5-1. 灵敏度

灵敏度(或衰减器)开关对一般仪器的作用是保护输入电路和防止仪表超出量程.

对计频器,灵敏度仍然起着这个重要的作用.迟滞一般发生在计数器的信号整形电路中.为了增强计频器对噪声的抵制,即使噪声低于迟滞(hysteresis),此电路也将不工作.这个信号整形电路是施密特电路(Schmitt Circuit),其作用图如下:

图. 2 施密特电路作用图

7

根据图2,当输入电压为V+,输出为相对高的电压;当输入电压为V-, 输出为相对低的电压,电压差V H=(V+)-(V-)叫作迟滞电压. 若输入电压为图3中任一种情况,施密特电路将不工作,也无输出.

图. 3 施密特电路不工作的状态

从以上的描述中可以看出施密特电路是否工作取决于决定输入电压幅值大小的灵敏度.

图4是合适选择灵敏度以防止错误计频的例子:

(a)通过选择合适的灵敏度以正确对一个失真信号进行计频.

当输入信号太大时,杂波也会被计频,所显示的实际上是未知频率的两倍.

(b)若高频噪音信号迭加到未知信号并且输入施密特电路的电

压过高,计频会发生错误.但选择合适的灵敏度可以获得正确的计频.

8

图. 4 9

满足以下两点可以防止错误的计频:

a)使噪音电压的峰峰值小于VH.

b)当未知信号的峰峰值大于VH,测量时先将灵敏度设在1/10,

然后再将其设在1/1以保护输入电路并避免错误的计频.

5-2. 输入灵敏度(Sensitivity)特性

这台仪器的输入灵敏度如图5所示.

图. 5 输入灵敏度特性

10

5-3. 最大输入电压

最大输入电压(Input Voltage) V s频率特性如图6所示.

图. 6. 最大输入电压-频率

5-4. 典型应用

下面是典型的几个应用:

1).对于发射器或接受器的输出频率测量(输出功率在1W左右),

仅仅需要将一圈带夹的导线接到天线以外几十厘米处,具体

距离取决于输出的幅值.

2).当进行振荡器阶段,乘法器阶段,及输出阶段的频率跟踪测量,

则利用一个2-3圈的细线,将信号耦合到每一个线圈.(输入电

容与多圈导线在谐振频率时可能引起谐振.)

注意: 因为此产品有很高的灵敏度,当测试人员触到带夹线的红端(非接地端),感应现象可能会引起错误的计频.因此,

11

按以上方法进行测量时请把持住黑色夹和与之同轴的

电缆.

3).测量一般都可通过将带夹线黑的一端连到地,红的一端接到

测试点进行.

4).若电缆电容对测试电路有影响(当测量圈状的电路或高阻抗

电路),测量前将一个高阻与带夹线串联插入.进行4)和5)的测量时,确保带夹线黑的一端连到地.如果可能的话,将此电缆接地到测试电路的地端.这个步骤可降低噪音的影响.除了(1-5), 利用计频器的特性还可进行其它不同的测量.

12

6. 电路描述

6-1. 工作原理

为了更好地利用此频率计数器,充分理解电路是有用的.我们尽可能利用最新的集成电路技术以降低该产品的价格同时减小电路的复杂性,提高稳定性.

假设输入信号到达10MHz~100MHz并输入到主板上标明的CHA.此信号首先由Q201~Q202放大.电路中的三级放大器标识为U202,是ECL逻辑电路.在线性误差范围内,每一级放大器在反馈之前的增益为5.Q203和Q204将ECL电平转变成TTL 电平.此信号直接被传送到计数器IC U301.

IC U301提供此计频器的所有功能,通过LED显示结果.

使用电源经变压器成为9V输入信号,U201调节此9V电压以纠正电路.当电源开关打在“on”位置,大约5.0V电压输入电路.

13

6-2. 频率测量精度

测量精度

频率测量精度由以下两点决定:

1)±1计频.

2)时基精度.

±1 计频的误差由数字表的特性和闸门信号(Gate Signal)与输入信号的相位差关系所决定.如图7,计频结果多1或少1取决于相位差.

图. 7 ±1 计频误差

14

高精度测量

振荡器的时基精度几乎完全由晶振特性所决定.时基规格如下: 振荡频率10MHz

老化率1×10-6/月

温漂5×10-6(25±5℃)

±2×10-5 (校准环境温度0~40℃) 这台仪器中的晶振温度特性如图8所示.从中可看出温度系数最大为2×10-5.

图. 8 晶振温度特性

15

晶振的温漂: 2×10-5(温度0~60℃) 25℃为参考. 选择0~60℃的温度范围是因为仪器内部的温升约20℃,而适合晶振的环境温度范围为:0~40℃.假设晶振的环境温度为25℃,频率为10MHz,则根据最大温漂和晶振频率,可能产生的误差为(10x106)x(2x10-5)=2x102Hz.在实际应用中,以下两种情况会产生最糟的情况:

1)在0℃的环境温度(Ambient temperature)下一打开仪器电源开关

(Switch ON)即进行频率校准;在40℃的环境温度下打开仪器电源开关长时间后(Time elapsed after switch ON)进行测量.

2)在40℃的环境温度下打开仪器电源开关长时间后进行校准;在0℃

的环境温度下一打开仪器即进行测量.

在这些最糟的情况下,保证精度4×10-5(校准温度:0~40℃) 即0.004%.

图. 9 晶振上升特性范例

16

在实际应用中,上述最坏的情况几乎从未踫到过而且一直保持着高精度状态.图9为上升特性的一个范例,精度随着温度的变化而变化.如图所示,打开开关后50分钟,此仪器的晶振到达热平衡状态.发货前,此仪器在25℃的环境下校准了60分钟.

如果仪器在打开开关1小时后开始工作且是在20~30℃的环境下校准,则即使用最糟的晶振也可保证精度5x10-6.

5x10-6(25±5℃)用百分比表示为0.0005%.老化率1×10-6/月表示在恒定常温下一月后,变化为0.0001%.

17

7.维护

以下指示步骤仅可由合格人员执行﹒为避免电击﹐请不要进行操作手册上未指明的操作.

7-1.校准的标准方法

50分钟的预热后,将一标准的或精度高达1x10-7的STD OUT信号输入计频器.调整调节器SVC301以显示10.000000MHz.经过这个步骤可能会获得超过1x10-7的精度.用一个螺丝起子(非铁头)来调整调节器.

7-2.清洁

清洁仪器时﹐请用沾有水和温和溶剂的软布﹒不要将清洁剂直接喷到仪器上﹐以防止其渗透到外壳内造成损坏﹒

不要用含有汽油﹐苯﹐甲苯﹐二甲苯﹐丙酮等相似的溶剂﹒不要将研磨剂用于仪器的任何部分﹒

18

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

数字频率计

燕山大学EDA课程设计报告书 题目:数字频率计

一、设计题目及要求 题目名称:数字频率计 要求: 1.输入为矩形脉冲,频率范围0~999KHz; 2.用3 位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz 和KHz 两档,自动切换,要有档位指示。 4. 超出测量范围,显示3 条短线“- - -”,且发出间隔为1s 的蜂鸣报警。 二、设计过程及内容 测量脉冲信号频率就是测量在单位时间内所产生的脉冲个数,所以在1S 时间内计数器所记录的结果,就是被测信号的频率。测量范围分别是0~999HZ、1~999kHZ,在kHZ档时,LED灯亮。 我们将数字频率计分为六个模块,分别是366分频模块,二分频模块,计数模块,选择模块,扫描模块,报警模块。 1、总体电路图如下: 右侧saomiao模块的输出端ABCDEF与计数器高位的进位输出端取非后相

与,再接接入实验箱,G和进位输出端相或,再接实验箱,实现在超出量程时显示“---”。 2、各部分电路图及功能 (1)分频模块 所选实验箱时钟信号频率为366HZ,为产生周期为两秒、占空比为1:2的时钟信号,需将366HZ的信号先经过366分频,产生周期为1HZ的信号,再经过二分频产生占空比符合要求的时钟信号。 366分频模块电路图: 功能说明:本模块使用三片74160级联构成366进制计数器,将输入的366HZ信号分频为1HZ,占空比为1:366的信号。 二分频模块电路图; 功能说明:使用边沿D触发器构成二分频,在输入信号的上升沿输出一秒的高电平或低电平,形成占空比1:2的时钟信号。

(2)计数器模块 功能说明:本模块共使用8片74160,上部的7片74160构成十进制计数器,左侧的三片计数器实现档位0~999HZ的计数,超出范围后将会有高电平的进位输出,使LED端产生周期为1s的脉冲,即LED灯进行1s的闪烁,标志着此时档位为kHZ。右侧三片实现kHZ档位计数,当低三位最后一个计数器产生进位时,高三位的输出端取或时SEL就会输出高电平,连接二选一模块进行档位选择。当高位输出产生进位时,最后一块产生报警信号的输出DD,为报警模块提供输入。 (3)数据选择器模块 功能说明:输出的档位由输入SEL控制,当SEL=0时,数据选择器选择A 输出即高三位KHZ档;当SEL=1时选择B输出即低三位HZ档。并利用74273在计数1s后输入数据,进行锁存,实现只显示最后的结果,不显示计数过程。其中1S的时钟信号加非门输出端,实现了数据的保存和输出。

数字频率计的设计与实现

目录 1. 引言 (1) 2.设计任务书 (1) 3. 数字频率计基本原理 (1) 3.1 设计思路 (1) 3.2 原理框图 (2) 4. 设计步骤及实现方法 (2) 4.1 信号拾取与整形 (2) 4.2 计数电路 (3) 4.3 锁存电路 (5) 4.4 译码显示电路 (6) 4.5 时钟电路及波形设计 (7) 5 总体电路图及工作原理 (10) 6 元器件的检测与电路调试缺点分析 (12) 7 心得体会 (12) 参考文献 (13)

1. 引言 数字频率计是一种基础测量仪器,在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。本设计项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计与调试的方法和步骤。

2.设计任务书 1、设计题目:数字频率计 2、设计出一个数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示方式:4位十进制数显示。 ( 5 )电源: 220V 、 50Hz 。 3、给定仪器设备及元器件 示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。 4.电路原理要求简单,便于制作调试,元件成本低廉易购。

3. 数字频率计基本原理 3.1 设计思路 (1)利用光电开关管做电机转速的信号拾取元件,在电机的转轴上安装一圆盘,在圆盘上挖一小洞,小洞上下分别对应着光发射和光接受开关,圆盘转动一圈既光电管导通一次,利用此信号做为脉冲计数所需。 (2)计数脉冲通过计数电路进行有效的计数,按照设计要求每一秒种都必须对计数器清零一次,因为电路实行秒更新,所以计数器到译码电路之间有锁存电路,在计数器进行计数的过程中对上一次的数据进行锁存显示,这样做不仅解决了数码显示的逻辑混乱,而且避免了数码显示的闪烁问题。 (3)对于脉冲记数,有测周和测频的方式。测周电路的测量精度主要受电路系统的脉冲产生电路的影响,对于低频率信号,其精度较高。测频电路其对于正负一的信号差比较敏感,对于低频率信号的测量误差较大,但是本电路仍然采用测频方式,原因是本电路对于马达电机转速精度要求较低,本电路还有升级为频率计使用,而测频方式对高频的精度还是很高的。 时钟实现方法很多,本电路采用晶振电路,已求得高精度的时钟需求。3.2 原理框图 图3-1 系统框图

简易数字频率计电路设计

简易数字频率计电路设计

摘要 请对内容进行简短的陈述,一般不超过300字 关键字:周期;频率;数码管,锁存器,计数器,中规模电路,定时器 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 本章要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、数码管、时基电路、逻辑控制、译码显示电路几部分组成。

目录 前言 (1) 1.数字频率计的原理 (2) 2.系统框图 (3) 3.系统各功能单元电路设计 (3) 3.1 时基电路设计 (3) 3.2 放大整形电路 (4) 3.3 逻辑控制电路 (5) 3.4 锁存单元 (6) 3.5 分频电路 (7) 3.6 显示器 (7) 3.7 报警电路 (8) 4.系统总电路图 (10) 结束语 (11) 参考文献 (12)

前言 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

数字频率计

数字频率计 目录 摘要 (3) 第一章设计要求 (4) 原理框图 (4) 设计指标 (4) 设计方案比较 (4) 第二章整体方案设计 (5) 2.1 算法设计 (5) 2.2 整体方框图及原理 (6) 第三章单元电路设计 (7) 3.1整形放大电路设计 (7) 3.2时基电路设计 (9) 3.3闸门电路设计 (11) 3.4控制电路设计 (13) 3.5自动换挡设计 (14) 3.5整体电路图 (16) 3.6整机原件清单 (16) 第四章设计小结 (17) 5.1 设计任务完成情况 (17) 5.2 问题及改进 (18) 5.3心得体会 (19) 第五章参考文献 (19)

摘要 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器。其基本功能是测量正弦信号、方波信号、三角波信号以及其他各种单位时间内变化的物理量。 在数字电路中,数字频率计属于时序电路,本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以触发器和计数器为核心,由信号输入、隔直,触发、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:攫取单位时间内进入计数器的脉冲个数;时基信号:基准信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。设计中采用了模块化设计方法,采用适当的放大和整形,提高了测量频率的范围。 本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。整个设计配以仿真电路图和波形图加以辅助说明。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是仿真结果及分析,这部分是为了分析电路是否按理论那样正常工作,便于理解。三是性能测试,这部分用于测试设计是否符合任务要求。最后是对本次课程设计的总结。 关键字:频率计、时基电路、逻辑控制、分频、计数、逻辑显示

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

数字频率计课程设计完整版

数字频率计课程设计 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。 在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 关键词:周期;频率;数码管,锁存器,计数器,中规模电路,定时器

[课程设计]数字频率计逻辑电路设计

数字频率计逻辑电路设计 一﹑简述 在进行模拟﹑数字电路的设计﹑安装和调试过程中,经常要用到数字频率计。 数字频率计实际上就是一个脉冲计数器,即在单位时间里(如1秒)所统计的脉冲个数,如图3.1计数时序波形图所示。频率数即为在1秒内通过与门的脉冲个数。 图3.1(a)门控计数 图3.1(b)门控序列 通常频率计是由输入整形电路﹑时钟振荡器﹑分频器﹑量程选择开关﹑计数器﹑显示器等组成。如图3.2所示。

图3.2 方框图 图3.2中,由于计数信号必须为方波信号,所以要用史密特触发器对输入波形进行整形,分频器输出的信号必须为1Hz,即脉冲宽度为1秒,这个秒脉冲加到与门上,就能检测到待测信号在1秒内通过与门的个数。脉冲个数由计数器计数,结果由七段显示器显示。二﹑设计任务和要求 设计一个八位的频率计数器逻辑控制线路,具体任务和要求如下: 1. 八位十进制数字显示。 2. 测显范围为1Hz~10MHz。 3. 量程分为四档,分别为*1000﹑*100﹑*10﹑*1。 三﹑可选用器材 1. NET系列数字电子技术实验系统 2. 直流稳压电源

3. 集成电路:频率计数器专用芯片ICM7216B,74LS93,74LS123,74LS390,7555及门电路 4. 晶振:8MHz,10MHz 5. 数显:CL102,CL002,LC5011—11 6. 电阻﹑电容等 四﹑设计方案提示 数字频率计可分为三部分进行考虑: 1. 计数﹑译码﹑显示 这一部分是频率计数器不可少的。即外部整形后的脉冲。通过计数器在单位时间里进行计数﹑译码和显示。计数器选用十进制的中规模(TTL/CMOS)集成计数器均可,译码显示可采用共阴或共阳的配套器件。例如计数器选用74LS161,译码器为74LS248,数显器为LC5011—11。也可选用四合一计数﹑寄存﹑译码﹑显示CL102或专用大规模频率计数器ICM7216芯片等。 中规模组成的计数﹑译码显示和四合一的数显。我们在基本实验和前几个课题中都已使用过,使用时,可参阅有关章节。下面介绍一下专用八位通用频率计数器ICM7216的特点及性能。 ICM7216是用COMS工艺制造的专用数字集成电路,专用于频率﹑周期﹑时间等测量。ICM7216为28管脚,其电源电压为5V。针对不同的使用条件和用途,ICM7216有四种类型产品,其中显示方式为共阴极LED显示器的为ICM7216 B型和D型,而显示方式为共阳极LED显示器的为ICM7216 A型和C型。图3.3为ICM7216B型的外管脚排列图。A﹑

数字频率计实验报告

基于Verilog HDL数字频率计设计与实现 学院: 专业: 学号: 姓名: 指导老师: 完成日期: 基于Verilog HDL数字频率计设计与实现 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个

数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本文阐述了用Verilog HDL语言设计了一个简单的数字频率计的过程。 关键词:周期;EDA;Verilog HDL;数字频率计;波形仿真. Abstract:Be one of the most fundamental parameter in electron technology medium frequency, parameter measurement scheme, measurement result all have very close something to do with a lot of electricity and, the frequency measurement looks like being more important therefore right away. The method measuring frequency has various, among them the electronic counter measures frequency having accuracy height, usage is convenient, measurement is prompt, easy to realize measurement process automation waits for merit and, counter measures frequency having two 第1 页共25页

简易数字频率计的设计与制作

简易数字频率计的设计与制作 作者:赵玉龙 【摘要】:本设计是基于单片机内部的两个定时器/计数器与外围硬件相结合,并通过一定的软件控制达到测量频率的目的的简易数字频率计,可以直接精确测量1KHZ到65.535KHZ的频率范围。本设计的优点在于直接利用单片机进行频率的测量,更加的方便,实用。 【关键词】:单片机频率测量

前言 单片机即单片微控制器单元,由微处理器,存储器,I/O接口,定时器/计数器等电路集成在一块芯片上构成,现在应用于工业控制,家用民用电器以及智能化仪器仪表,计算机网络,外设,通信技术中,具有体积小、重量轻、性价比高、功耗低等特点, 同时具有较高的抗干扰性与可靠性可供设计开发人员灵活的运用各种逻辑操作,实现实时控制和进行必要的运算.目前单片机更朝着大容量、高性能与小容量、低廉化、外围电路内装化以及I/O接口的增强和能耗降低等方向发展.本设计的意义在于如何利用较少的硬件达到直接测量较高精度频率的目的,更加的方便,快捷,相对于传统的数字频率计实用性更高。

第一章 系统硬件电路的设计 1.1方案的选择: 方案一.采用组合电路和时序电路等大量的硬件电路来构成,利用555多谐振荡产生闸门时间,两个D 触发器来进行门控信号的选择,数码管,以及其他硬件电路组成。 方案二.利用一块AT89C51单片机芯片直接来驱动数码管。 比较方案一与方案二在实现功能一样的情况下,我们可以发现纯粹利用硬件电路来实现不仅产品体积较大,运行速度慢,而且增加了许多的硬件成本,而利用单片机体积小、功能强、性能价格比较高等特点,在实际使用时节约了很多的硬件成本,符合设计的要求,故而本设计选择方案二来实现频率的测量. 1.2系统功能分析 本系统是基于单片机的简易数字频率计,在硬件的基础上通过软件的控制 达到频率测量的目的,整个系统工作由软件程序控制运行。整个系统主要可以分为两个部分,频率测量单元和频率显示单元。频率测量单元主要完成对被测信号的测量,而显示单元主要完成用数码形式将测量结果显示出来。 1.3.系统的方框图: 被测信号通过单片机的内部处理,完成对被测信号的测量,经过转换以数字形式显示出来。 图一 系统方框图 具体情况如下: 将单片机定时/计数器0设置成定时器方式,由它对单片机机器周期信号计数定时,形成时间间隔T,去控制单片机定时/计数器1的启动和停止, 单片机定时计数器1设置成计数器方式,由它对被测信号计数. 这里需要说明能够的是单片机内的两个定时/计数器在同一时刻不能既作为计数器使用又作为定时器使用,如设置成定时器模式就不能作为计数器使用;如设置成计数器模式就不能作为定时器使用. 1.4.各功能部件单元电路设计

数字频率计的设计要点

目录 摘要 (Ⅱ) Abstract (Ⅲ) 第一章绪论 (1) 1.1技术发展 (1) 1.2本课题研究内容 (1) 第二章系统方案设计 (2) 2.1任务分析 (2) 2.2三种方案比较 (2) 2.3方案选择 (4) 第三章系统硬件设计 (5) 3.1总体框图 (5) 3.2单元电路设计与参数选择 (6) 3.2.1时基信号产生及分频电路 (6) 3.2.2放大整形电路 (8) 3.2.3计数译码显示电路 (9) 3.2.4逻辑控制电路 (10) 3.2.5自动换档电路 (11) 3.2.6小数点及指示灯译码显示电路 (12) 第四章系统调试 (13) 4.1测试仪表 (13) 4.2单元电路测试 (13) 4.3系统总体测试 (13) 4.4指标测试分析与改进方法 (14) 总结 (15) 致谢 (16) 参考文献 (17) 附录 (18)

摘要 在结束《电子技术基础》的数电部分和模电部分的课程后,制作简易的数字频率计是相关专业学生必须掌握和实践的一项基本技能。运用已有的数电知识和模电知识,灵活地运用集成芯片和器件,搭建数字频率计的硬件模型,再经过具体地调试,基本实现从待测信号的放大整形,计数到译码显示。其中还包括闸门信号的产生及分频和逻辑控制电路的设计。采用分模块设计和调试的方法,确保数字频率计的各项功能指标。在此次课程设计中主要用到了时序电路,其中时序电路以单稳态电路,D触发器为主,其次,计数及分频部分用到了十进制计数器74LS90和CD4518芯片,译码电路用到了74LS138和CD4511芯片,扩展电路用到了74LS192芯片。通过此次的课程设计,简易的数字频率计能够很快地被做成并实现测量方波,三角波,正弦波等信号的频率,并具有自动换挡和指示量程的功能。 关键词:电子技术;频率计;数电;时序电路;课程设计

简易数字频率计设计实验报告

电子线路课程设计报告 姓名: 学号: 专业:电子信息 日期:2014.4.13 南京理工大学紫金学院电光系 2014-4-13

引言 《电子线路课程设计》是一门理论和实践相结合的课程。它融入了现代电子设计的新思想和新方法,架起一座利用单元模块实现电子系统的桥梁,帮助学生进一步提高电子设计能力。对于推动信息电子类学科面向21世纪课程体系和课程内容改革,引导、培养大学生创新意识、协作精神和理论联系实际的学风,加强学生工程实践能力的训练和培养,促进广大学生踊跃参加课外科技活动和提高毕业生的就业率都会起到了良好作用。 该课程主要内容: (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用:包括放大器、滤波器、比较器、光电耦合器、单稳、逻辑控制、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 (5)电子线路课程设计课题: 设计并制作一个基于模电和数电的简易数字频率计。

目录 第一章设计要求................................................. 1.1 基本要求........................................... 1.2 提高部分........................................... 1.3 设计报告........................................... 第二章整体方案设计............................................. 2.1 算法设计........................................... 2.2 整体方框图及原理................................... 第三章单元电路设计............................................. 3.1 模电部分设计....................................... 3.1.1 放大电路........................................ 3.1.2 滤波电路........................................ 3.1.3 比较电路........................................ 3.1.4 模电总体电路.................................... 3.2 数电部分设计....................................... 3.2.1 时基电路........................................ 3.2.2 单稳态电路...................................... 3.2.3 计数、译码、显示电路............................ 3.2.4 数电总体电路.................................... 第四章测试与调整............................................... 4.1 时基电路的调测..................................... 4.2 计数电路的调测..................................... 4.3 显示电路的调测..................................... 第五章设计小结................................................. 5.1 设计任务完成情况................................... 5.2心得体会...........................................

数字频率计

摘要 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行较慢,而且测量频率的范围较小。本方案以单片机为核心,被测信号先进入信号放大电路进行放大,再被送到波形整形电路整形为方波。利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。 本课设硬件方面以单片机AT89S52为核心,通过独立式键盘输入,运用单片机的运算和控制功能并采用数码管将所测频率显示出来。软件方面采用C语言编程,运用定时计数器测量频率,再调显示函数,将测得的结果显示在数码管上。系统简单可靠、操作简易,能基本满足一般情况下的需要。既保证了系统的测频精度,又使系统具有较好的实时性。本频率计设计简洁,便于携带,扩展能力强,适用范围广。 关键词:频率计;AT89S52;数码管

目录 1 设计任务与要求 (1) 1.1 设计课题任务 (1) 1.2 设计内容 (1) 1.3 设计要求 (1) 1.4 设计课题总体方案介绍及工作原理说明 (1) 1.4.1 设计思路 (1) 1.4.2 频率计工作原理 (2) 2 硬件系统的设计 (3) 2.1 单片机AT89S52 (3) 2.2 晶振电路 (3) 2.3 按键电路 (4) 2.4 复位电路 (5) 2.5 显示电路 (5) 2.6 下载电路 (6) 2.7 电源电路 (7) 3 软件系统的设计 (8) 3.1 软件系统各模块功能简要介绍 (8) 3.2 软件系统程序流程框图 (9) 4 调试与分析 (12) 4.1 使用说明 (12) 4.2 结果显示 (12) 4.3 误差分析 (13) 结束语 (15) 致谢 (16) 参考文献 (17) 附录 (18) 附录A 程序 (18) 附录B 电路原理图 (25) 附录C 实物图 (26) 附录D PCB图 (27)

数字频率计的基本原理

数字频率计的基本原理 设计并制作出一种数字频率计,其技术指标如下: 1. 频率测量范围: 10 ?9999HZ 。 2. 输入信号波形:任意周期信号。输入电压幅度 >300mV 。 3. 电源:220V 、50Hz 4. 系统框图 从数字频率计的基本原理出发,根据设计要求,得到如图 1所示的电路框图。 下面介绍框图中各部分的功能及实现方法 (1) 电源与整流稳压电路 框图中的电源采用 50Hz 的交流市电。市电被降压、整流、稳压后为整个系统提供直流 电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2) 全波整流与波形整形电路 本频率计采用市电频率作为标准频率, 以获得稳定的基准时间。 按国家标准,市电的频 率漂移不能超过0?5Hz ,即在1 %的范围内。用它作普通频率计的基准信号完全能满足系统 的要求。 全波整流电路首先对 50Hz 交流市电进行全波整流,得到如图 2 (a)所示100Hz 的 全波整流波形。波形整形电路对 100Hz 信号进行整形,使之成为如图 2(b) 所示100Hz 的矩 形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波, 也可采用施密特触发器 进行整形。 图1数字频率计框图 彼测信号

图2全波整流与波形整形电路的输出波形 (3)分频器 分频器的作用是为了获得 1S的标准时间。电路首先对图2所示的IOOHz信号进行100 分频得到如图3( a)所示周期为1S的脉冲信号。然后再进行二分频得到如图3( b)所示占 空比为50%脉冲宽度为1S的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在1S时间内通过控制门的被测脉冲的数目。 图3 分频器的输出波形 分频器可以采用教材中介绍过的方法,由计数器通过计数获得。二分频可以采用触发器来实现。 (4)信号放大、波形整形电路 为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路,波形整形可以采用施密特触发器。 (5)控制门 控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,一个输入端接被测脉冲。控制门可以用与门或或门来实现。当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时进行计数。 (6)计数器 计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为9999Hz,应采用4 位十进制计数器。可以选用现成的10进制集成计数器。 (7)锁存器 在确定的时间(1S)内计数器的计数结果 (被测信号频率)必须经锁定后才能获得稳定的显示值。锁存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的8位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。 (8)显示译码器与数码管 显示译码器的作用是把用 BCD码表示的10进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。 选用显示译码器时其输出方式必须与数码管匹配。 5. 实际参考电路 根据系统框图,设计出的电路如图4所示。

数字频率计设计报告

电子线路课程设计报告 姓名:方正 学号:110405221 专业:电气工程及其自动化 日期: 2012-10-13

目录 1 概述 (3) 2 方案论证……………………… 2.1 方案一 (4) 2.2 方案二 (4) 3.3方案选择 (5) 3 数字频率计设计原理 (5) 4、单元电路分析 (6) 【2 (6) 1、放大整形电路】 【1 (7) 2、时基电路】 【1 (7) 3、逻辑控制】 4、锁存器 (8) 六、调试电路板中出现的问题及解决办法 (10) 七、课程设计体会 (11) 八、集成芯片功能介绍 (12) 1、74LS573 (12) 2、74LS48 (12) 3、74LS90 (13) 4、555构成的单稳触发器和多谐振荡器 (14) 参考文献 (14) 附一:电路总图..................................... 错误!未定义书签。附二:电路PCB图................................... 错误!未定义书签。附三:PCB-3D图.................................... 错误!未定义书签。附四:元件清单..................................... 错误!未定义书签。

一、概述 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其他各种单位时间内变化的物理量,因此它的用途十分广泛:数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。 数字频率计的设计原理实际上是测量单位时间内的周期数。这种方法免去了实测以前的预测,同时节省了划分频段的时间,克服了原来高频段采用测频模式而低频段采用测周期模式的测量方法存在换挡速度慢的缺点。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。 集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域。

数字频率计课程设计

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。 在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

关键词:周期;频率;数码管,锁存器,计数器,中规模电路,定时器

目录 1.课程设计目的 (1) 2.课程设计频率技术要求 (1) 3.课程设计报告内容 (2) 3.1 设计方案的选定与说明 (2) 3.1.1方案设计与论证 (2) 3.2论述方案的各部分工作原理 (4) 3.2.1时基电路 (4) 3.2.3逻辑控制电路 (5) 3.2.4计数器 (6) 3.2.5锁存器 (7) 3.3设计方案的图表 (8) 3.3.1设计原理图 (8) 3.3.2元件清单 (11) 3.4编写设计说明书 (12) 3.4.1 设计说明 (12) 3.4.2 性能技术指标与分析 (12) 4、总结 (15) 5、参考书目: (16)

相关文档
最新文档