数字电路课程设计(节日彩灯控制器 & 循环彩灯控制电路设计)

数字电路课程设计(节日彩灯控制器 & 循环彩灯控制电路设计)
数字电路课程设计(节日彩灯控制器 & 循环彩灯控制电路设计)

单片机节日彩灯控制器设计

邯郸学院课程设计报告 课程名称单片机 题目节日彩灯控制器的设计 学生 学生 学生 学生 指导教师 年级 专业 二级学院 邯郸学院 2015年 1 月 5 日

邯郸学院《单片机》课程设计任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以AT89C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。按K5—从亮到暗到亮循环。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

目录 摘要 (3) 一、概述 (5) 二、任务要求 (5) 三、硬件电路设计与分析 (5) 1.AT89C51单片机 (5) 1.1 AT89C51结构 (5) 1.2 AT89S51引脚描述 (6) 2.键盘设计 (8) 3.定时器与复位模块 (8) 3.1时钟电路 (8) 3.2复位电路 (9) 四、软件设计与论证 (10) 五、功能仿真实现 (11) 七、心得体会 (14) 八、参考文献 (15) 附录 (15)

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

节日彩灯控制器的设计

节日彩灯控制器的设计

物理与电子信息学院 单片机与接口技术课程设计报告 设计题目: 节日彩灯控制器的设计 专 业: 电子信息工程 班 级: 13电子(1)班 姓 名: 韩乐乐、吴旭、杨晓帆 教师评语:

节日彩灯控制器的设计 摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉,使用方便,控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对与彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。本文介绍了一种简易LED 彩灯控制系统的软硬件设计过程,以STC-89C52单片机作为主控核心与辅助硬件电路相结合,利用软件实现对LED彩灯进行控制。本系统具有电路结构简单、操作容易、硬件少、成本低等特点。 关键词:LED彩灯、AT89C51单片机、彩灯控制器

ABSTRACT With the development of microelectronics technology, improve people's living standard, people on the surrounding environment, landscaping and lighting are not limited to monotonous incandescent lights have become a fashion trend. Lantern controller practical value in daily production practices in daily life have become increasingly prominent role. Carnival is also based on a variety of devices have emerged, the microcontroller because of its low price, easy to use, simple to control your lights controlling the main device. More on the market with all the hardware circuit, the circuit structure is complex, single structure, once the finished products can only be a fixed pattern, not according to different occasions, adjust the brightness of different time periods, patterns and blinking frequency of the dynamic parameters, and some circuit The chip too much, circuit complexity, power consumption large, Liang Deng style monotonous lack of operation and other shortcomings, design a new type of lights can not wait. In recent years, the lantern for the landscaping, lighting the city has an important role should not be underestimated. So the city decorated with lanterns as the growing demand for technology and lanterns have become more sophisticated and synchronized. Currently on the market a variety of style LED lantern is the most hardware-wide realization of the existence of complex circuit structure, functions and other limitations of a single, it is essential to the current controller to improve the lantern. This paper introduces a simple control system of the LED lantern design process of hardware and software, with STC-89C52 single-chip microcomputer as the master core and supporting the combination of hardware circuitry, using software control of the LED lanterns. The specialties of this system are simple structure, easy control, less hardware, low cost, and so on. Key words: LED Lantern、AT89C51 SCM 、Lantern controller

单片机设计节日彩灯控制器课程设计报告

单片机课程设计报告

用单片机设计节日彩灯控制器 一、设计任务与要求 本实验中采用汇编语言和89C51单片机实现几日彩灯控制器,在Keil uVision软件环境中编辑和调试节日彩灯程序,并在Proteus软件环境下仿真节日彩灯的硬件电路。可编程节日彩灯系统采用8个LED灯来模拟彩灯的显示,设计要求8个LED灯能以多种不同的方式变换的亮灭,以达到节日彩灯的效果。 本实验中彩灯具体的变换方式采用以下四种方式: 方式一:启动彩灯运行,按下与89C51单片机P1.2相连接的按钮,则彩灯按照由上而下的顺序依次点亮。 方式二:停止彩灯运行,按下与89C51单片机P1.3相连接的按钮,则彩灯全部熄灭。 方式三:向下依次点亮彩灯,按下与89C51单片机P1.4相连接的按钮,则彩灯按照由上向下的顺序依次点亮。 方式四:向上依次点亮彩灯,按下与89C51单片机P1.5相连接的按钮,则彩灯按照由下向上的顺序依次点亮。 二、设计方案 以80C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。按键可以在彩灯使用的时候选择不同的亮法,使彩灯流动的方向改变,键一可以使彩灯由上而下开始流动,键二可以使彩灯停止,三号键可以使彩灯由上而下流动,四键则可以使彩灯由下而上流动。通过按键能方便使用者选择节日彩灯的开启、流动方向和停止。系统框图如下: 三、单片机设计节日彩灯控制器的硬件电路设计

单片机设计过程中用到的管脚说明: 1、VCC(40):供电电压。 2、GND(20):接地。 3、P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口, P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 4、P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口 缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1” 时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 5、P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O 端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口也可作为AT89C51的一些特殊功能口,其中P3.3可作为外部中断1输入。 6、XTAL1(19):反向振荡放大器的输入及内部时钟工作电路的输入。 7、XTAL2(18):来自反向振荡器的输出。 8、EA(31):外部程序存储器访问允许控制端。 打开PROTEUS的ISIS编辑环境,从PROTEUS中选取该电路所需要的元器件,放置元器件、放置电源和地、连线得到下图所示的电路原理图,再点菜单栏工具下拉的电气规则检查,当规则检查出现:“NETLIST GENERATED OK NO ERC ERRORD FOUND”,表示通过检查。电路设计完成。

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

节日彩灯控制器设计

铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※ ※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※ ※ 2013级 单片机接口设计课程设计

目录 第1章设计目的 (1) 第2章设计要求 (1) 第3章硬件电路设计 (1) 3.1 系统结构框图 (1) 3.2 STC89C52单片机 (2) 3.3 总电路图 (3) 第4章软件设计 (4) 4.1 主程序设计 (4) 4.2 LED灯子程序设计 (6) 4.2 延时子程序设计 (6) 4.3 源程序 (7) 第5章结论 (9) 参考文献 (10)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 图3-1 系统结构框图

3.2 STC89C52单片机 89C52本质是一种低耗高性能的微控制器。它的主要特性是拥有8K字节的程序存储空间;512字节的数据存储空间;带4K字节的EEPROM存储空间和可直接使用串行口下载。此外,它还是增强型的80C51单片机,有6时钟/机器周期和12时钟/机器周期可以任意选择,同时它的指令代码完全兼容传统80C51单片机。 89C52共有四个八位的并行双向口,即有32根输入输出口线。各口的每一位均由锁存器、输出驱动器和输入缓冲器组成。STC89C52芯片有40个引脚,引脚图如图3-2所示,各引脚功能介绍如下: 图3-2 STC89C52引脚图 VCC(40引脚):电源电压。 VSS(20引脚):接地。 P1端口(P1.0~P1.7,1~8引脚):P1口是一个带部上拉电阻的8位双向I/O 口。P1的输出缓冲器可驱动4个TTL负载。对端口写入1时,通过部的上拉电阻把端口拉到高电位,这是可用作输入口。P1口作输入口使用时,因为有部上

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

(完整word版)stm32单片机节日彩灯控制器

燕山大学 课程设计说明书 题目:节日彩灯控制器设计 学院(系):__________________________________________ 年级专业: __________________________________________ 学号:__________________________________________ 学生姓名:__________________________________________ 指导老师:__________________________________________ 答辩日期:__________________________________________

燕山大学课程设计(论文)任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以stm32单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

相关文档
最新文档