交通灯实验报告

交通灯实验报告
交通灯实验报告

学校代码:11460 南京晓庄学院本科生毕业综合设计

交通灯控制系统设计

traffic light control system design 院系:物理与电子工程学院

专业: 电子信息科学与技术

成员:郁艇妹(08409244)

周纬璐(08409247)

交通灯控制系统设计实验

一.设计目的

1. 通过本次课程设计进一步熟悉和掌握单片机的结构及工作原理,巩固和加深“单片

机原理与应用”课程的基本知识,掌握电子设计知识在实际中的简单应用。

2. 综合运用“单片机原理与应用”课程和先修课程的理论及生产实际知识去分析和解决

电子设计问题,进行电子设计的训练。

3. 学习电子设计的一般方法,掌握at89c52芯片以及简单电子设计过程和运行方式,

培养正确的设计思想和分析问题、解决问题的能力,特别是总体设计能力。

4. 通过计算和绘制原理图、布线图和流程图,学会运用标准、规范、手册、图册和查阅

有关技术资料等,培养电子设计的基本技能。

5. 通过完成一个包括电路设计和程序开发的完整过程,了解开发单片机应用系统全过程,

为今后从事的工作打基础。

二.设计要求

1.利用单片机的定时器定时,实现道路的红绿灯交替点亮和熄灭。

2.以at89c52单片机为核心,设计一个十字路口交通灯控制系统。用单片机控制led灯

模拟交通信号灯显示。假定东西、南北方向方向通行(绿灯)时间为25秒,缓冲(黄灯)时

间5秒,停止(红灯)时间35秒。

3.南北方向、东西方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器

进行显示(采用计时的方法)。

三.实验原理

1.基本原理

主体电路:交通灯自动控制模块。这部分电路主要由80c51单片机的i/o端口、定时计

数器、外部中断扩展等组成。

本设计先是从普通三色灯的指示开始进行设计,用p1口作为输出。程序的初始化是东西

南北方向的红灯全亮。然后南北方向红灯亮,东西方向绿灯亮,60秒后东西方向黄灯闪亮5

秒后南北方向绿灯亮,东西方向红灯亮。重复执行。倒计时用到定时器t0,用p2口作为led

的显示。二位一体的led重复执行60秒的倒计时。作为突发事件的处理,本设计主要用到外

部中断ex0。用一模拟开关作为中断信号。实际中可以接其它可以产生中断信号的信号源。

2.芯片at89c52

at89c52是一个低电压,高性能cmos 8位单片机,片内含8k bytes的可反复擦写

的flash只读程序存储器和256 bytes的随机存取数据存储器(ram),器件采用atmel

公司的高密度、非易失性存储技术生产,兼容标准mcs-51指令系统,片内置通用8位中央处

理器和flash存储单元,功能强大的at89c52单片机可为您提供许多较复杂系统控制应

用场合。

at89c52有40个引脚,32个外部双向输入/输出(i/o)端口,同时内含2个外中断口,

3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,at89c52可以按照常规

方法进行编程,也可以在线编程。其将通用的微处理器和flash存储器结合在一起,特别是

可反复擦写的flash存储器可有效地降低开发成本。主要功能特性:

? 兼容mcs51指令系统 ? 8k可反复擦写(>1000次)flash rom ? 32

个双向i/o口 ? 256x8bit内部ram ? 3个16位可编程定时/计数器中断 ? 时钟频率0-24mhz ? 2个串行中断 ? 可编程uart串行通道

? 2个外部中断源 ? 共6个中断源

? 2个读写中断口线

? 3级加密位 ? 低功耗空闲和掉电模式 ?

软件设置睡眠和唤醒功能

四.实验流程图

(一)实现方法

(1)在设计中利用软件程序延时的方法来控制红(绿)的亮的时间。考虑延时时间较长

所以先用t0产生终端然后通过计数的方法来实现延时。利用p1口的p1.1、p1.2、p1.3作

为红绿灯控制端口。

(2)南北向的绿灯连在一块,东西向的红灯连在一块,他们一块与p1.1相连。同样南

北向的红灯连在一块,东西向的绿灯连在一块,他们一块与p1. 2相连,四个黄灯连在一块

与p1.3相连。

(二)流程图

五.硬件设计

1.单片机的结构

2.主要元器件选择

(1). 开关管的选择:button按钮

(2). led发光二极管 led-red, led-yellow ,lde-green (3). 二位一体数

码管 7seg-mpx2-cat-red:共阳数码管(红色)(4). pn4249:驱动三极管(5). at89s51

系列单片机

3.设计显示部分

led数码显示部分。led数码显示部分由七段数码显示管组成。发光二极管显示原理:发光二极管是采用砷化镓、镓铝砷和磷化镓等材料制成,其内部结构为一个pn结,具

有单向导电性。发光二极管在制作时,使用的材料不同,那么就可以发出不同颜色的光。

当定时器定时为1秒,时程序跳转到时间显示及信号灯显示子程序,它将依次显示信号

灯时间,同时一直显示信号灯的颜色,这时在返回定时子程序定时一秒,在显示黄灯的下一

个时间,这样依次把所有的灯色的时间显示完后在重新给时间计数器赋初值,重新进入循环。

六.软件设计

1.单片机中断系统基本结构

中断是一项重要的计算机技术,是处理正常工作与紧急状态的好办法,是实现人机实时

交互的重要途径,在单片机应用系统中,中断技术得到了广泛应用。下面详细介绍单片机中

断系统基本结构、与中断相关的特殊寄存器的设置及中断应用系统编程方法。

当cpu查询到系统有中断请求时,如果系统处于中断允许状态,cpu将停止当前的工作,

响应中断请求,转向中断服务,中断服务完成后,返回原程序继续执行当前任务,这叫单片

机中断。

8051系列单片机中断系统结构如图3.7所示。能让cpu产生中断的信号源叫中断源。8051

单片机有nt0、int1、t0、t1、ti、ri六个中断源,但只有ex0、et0、ex1、et1、es 图:单片机中断系统基本结构

int0、int1:外部中断源,由p3.2和p3.2引脚输入。具有低电平和脉冲两种触发方式,在每个机器周期的s5p2采样引脚信号,如有效则由硬件将它的中断请求标志ie置1,请求中断。当cpu响应中断时,由硬件复位。

t0、t1:定时/计数器中断,当定时/计数器产生溢出时,置位中断请求标志tf请求中断处理。

ri、ti:串行中断,ri是接收,ti为发送。单片机串行口接收到一个字符后ri置1,篇三:51单片机控制的交通灯系统实验报告

系统实验报告

——基于51单片机的交通灯设计

2000年x月x日

目录

1 设计任务和性能指标 ............................................................................. .................. 1 1.1设计任务 ............................................................................. ................................. 1 1.2性能指标 ............................................................................. ................................. 1

2 设计方案 ............................................................................. ...................................... 2 2.1任务分析 ............................................................................. ................................. 2 2.2方案设计 ............................................................................. ................................. 2

3 系统硬件设计 ............................................................................. .............................. 3 3.1单片机的最小系统 ............................................................................. ................. 3 3.2电源电路 ............................................................................. .................................

4 3.3数码管显示时间电路设计 ............................................................................. ..... 4 3.4信号灯控制电路设计 ............................................................................. .............

5 4 系统软件设计 ............................................................................. .............................. 5 4.1 主程序设计 ............................................................................. ............................ 5 5 调试及性能分析 ............................................................................. ..........................

6 5.1 调试分析 ............................................................................. ............................... 6 5.1.1 软件调试.............................................................................. ......................... 6 5.1.2 硬件调

试.............................................................................. ......................... 6 5.1.3 系统功能调试.............................................................................. ................. 6 6 心得体

会 ............................................................................. ...................................... 6 参考文

献 ............................................................................. .......................................... 8 附录 1 系统原理

图 ............................................................................. ......................... 9 附录 3 程序清

单 ............................................................................. ........................... 10 附录3元器件清单. (14)

1 设计任务和性能指标

1.1设计任务

利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支

干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮

允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号

灯。如图上图所示。设东西向为主干道,南北为支干道。 1.2性能指标

1. 状态1:仅亮灯,数码管不工作。

按下键4,红/黄/绿三色灯交替亮:

红—〉(20秒)黄(闪烁)—〉(5秒)绿—〉(20秒) 黄(闪烁)—〉(5 秒)红

2. 状态2:灯和数码管相结合,模拟十字路口的交通灯在以上功能的基础

上数码管倒计时显示时间。

2 设计方案

2.1任务分析

模拟交通灯控制器就是使用单片机来控制一些led 和数码管,模拟真实交通灯的功能。

红、黄、绿交替闪亮,利用数码管倒计数显示间隔等,用于管理十字路口的车辆及行人交通,

计时牌显示路口通行转换剩余时间等

2.2方案设计

根据设计的要求可知,系统的硬件原理框图如下图所示。

键盘

单片机

led显示

三色指示灯

系统硬件框图

单片机选用at89s52,它与8051系列单片机全兼容,但其内部带有4kb的flash rom,

设计时无需外接程序存储器,为设计和调试带来极大的方便。南北向和东西向各采用2个数

码管计时,同时需要对该方向的指示灯的点亮时间进行倒计时。键盘系统可以根据系统的需

要设置不同的键的个数,可以选择线式键盘或矩阵式键盘,若单片机的io口不够用时,可以

考虑扩展8255或8155满足系统的要求。 2. 软件方案

根据设计要求,程序框图如图1所示。软件可由汇编语言完成,也可由c语言完成。软件设计可以分为以下几个功能模块:主程序:初始化及键盘监控。

计时程序模块:为定时器的中断服务子程序,完成0.1秒(或其他时间)和1秒的时间定时。

显示程序模块:完成60个发光二极管(实际上只需驱动30个)和8个led数码管的显示驱动。

程序流程图见下一页:

程序流程图

3 系统硬件设计

3.1单片机的最小系统

atmel公司生产的at89s52单片机它是硬件电路的核心部分,时钟电路晶振使用12mhz,复位电路采取按键复位方式。具体连接图3.1和图3.2。

单片机系统的时钟电路篇四:交通灯设计报告

一.设计要求

(1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。

(2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。

(3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。

二.设计原理及框图

交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。

图一:交通灯控制系统的原理框图

两方向车道的交通灯的运行状态共有4种,如图2所示,它们转换到数字状态如下图。 tf: 表示甲车道或乙车道红灯亮的时间间隔为25秒,当tf=0时,甲车道为红灯,25秒倒计时;当tf=1时,乙车道为红灯,25秒倒计时。 ts:表示倒计时到5秒和20秒。ty=0倒计时20秒,否则,ty=1倒计时5秒

一般十字路口的交通灯控制系统的工作过程如下:

(1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止

通行。此时tf=0,ts=0;绿灯亮足规定的时间隔20s,倒计时计数器发出状态转换信号使ts=1,使计数控制器使ts=1转到下一工作状态。

(2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔5s时,倒计时计数器发出状态转换信号使tf=1,ts=0,使控制器控制译码器转到下一工作状态。

(3)甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行,绿灯亮足规定的时间间隔20s时,倒计时计数器发出状态转换信号使ts=1,使控制器控制译码器转到下一工作状态。

(4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停车线的车

辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时

间间隔5s时,倒计时计数器发出状态转换信号使

tf=0,ts=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作状态。

因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争冒险的问题,

所以可设计为当计数器为00时即发生信号灯的转换,当信号灯1为00时,交通灯控制器向

交通显示灯发出转换信号,交通显示灯由红灯变为绿灯;当信号灯1再次为00时,交通灯控

制器向交通显示灯发出转换信号,交通显示灯由绿灯变为黄灯;当信号灯1再次为00时,交

通灯控制器向交通显示灯发出转换信号,交通显示灯由黄灯变为红灯。信号灯2与信号灯1

转换过程相同,只不过信号灯2是由绿灯到黄灯再到红灯的。

三.器件说明

1.元件清单:

(1) 集成元件 74ls161四片 n555一片

74ls192四片 7447n两片

74ls21四片(与门) 74ls04(非门)三片

74ls32五片(或门) 74ls27四片(或非门)

(2)数码管共阳极七段数码管四个

红色led两个,黄色led两个,绿色led两个

(3)电阻 51k?一只, 39k? 一只

(4)电容 10μf 一只,10nf 一只

(5)开关三刀双掷开关一只

2. 主要器件的引脚排列图和功能表

(1)n555引脚图:

(2)74ls161 引脚图:

功能表:

(3)74ls192 引脚图:

波形图:

(4)7447n 引脚图:篇五:交通灯模拟系统实验报告书

目录

一、设计题目 ----------------------------------------------------2

二、课程设计的性质和目的 ------------------------------------2

三、设计任务 ----------------------------------------------------2

四、方案选择比较及方案方框图--------------------------------2

五、元件清单 ----------------------------------------------------4

六、硬件电路图 --------------------------------------------------4

1、单片机主电路 --------------------------------------------------4

2、交通灯二极管点亮电路 ------------------------------------------5

3、交通灯数码管显示电路-------------------------------------------5

七、软件设计 ----------------------------------------------------6

1、程序流程图 ----------------------------------------------------6

2、各功能模块程序清单 --------------------------------------------8

八、设计心得 ----------------------------------------------------11

九、整体电路原理图 ----------------------------------------------12

十、附:主程序 --------------------------------------------------13 参考文献

----------------------------------------------------------20

一、设计题目:交通灯模拟控制系统

二、课程设计的性质和目的

本课程设计的主要目的是通过对电子技术及单片机原理的学习,综合掌握电子电路综合设计的过程,设计要求和具体的设计方法。通过设计更好的复习、理解模拟电子、数字电子和单片机灯课程内容,使得理论和实际相结合,加强学生的动手能力以及查阅相关资料解决实际问题的能力,培养学生从事设计工作的整体概念。

三、设计任务

1、完成交通灯的变化规律,即一个十字路口为东西向和南北向,四个路口均有红黄绿三灯和两个led数码显示管。交通灯上电以后进入初始状态即东西红灯,南北红灯。5s后转状态1:南北绿灯亮通车,东西红灯亮,禁止通行,持续30s;30s后转状态2:南北绿灯灭转黄灯闪亮,延时5秒,东西仍然红灯;5s后转状态3:东西绿灯亮通车,南北转红灯,持续30s;30s后转状态4:东西绿灯灭转亮黄闪灯,延时5秒,南北仍然红灯。最后循环至状态1。

2、用8个led数码管(各个方向均有两个led数码管,分别表示个位是和十位)显示倒计时。倒计时用于提醒驾驶员或行人信号灯发生改变的时间,以便他们在“停止”和“通行”两者作出合适的选择。

3、在紧急状态下,通过k1键手动设置,将所有路口的灯变为红灯。

四、方案选择比较及方案方框图

利用单片机模拟交通灯控制系统是单片机中较为经典的设计,其设计方案、种类及使用语言多种多样。本设计中用红绿黄灯表示禁行,通行和等待的信号发生。利用数码管倒计数显示间隔。利用键盘控制来处理紧急情况。编程语言使用的是汇编语言。

首先在单片机类型的选择上,单片机自20世纪70年代问世以来,发展迅速,各种类型的单片机层出不穷。经过比较及仿真软件的使用范围,我选择了atmel公司的at89c51单片机。然后为其添加了单片机外围电路,包括复位电路,晶振电路。晶振选择了6mhz的频率,晶振电路的作用是产生单片机工作所需要的时钟脉冲序列。然

后就是本设计的三大重要模块,包括紧急按键电路,交通灯二极管点亮电路,交通灯数码管倒计时显示电路。

紧急按键电路中使用的按键是闸刀式,相比按键式键盘在中断处理过程中,处理程序简单,易于操作。此电路接入了外部中断0,使用中断方式,减少cpu工作量,程序设计简单。

交通灯二极管点亮电路使用了外接上拉电阻的方式,要实现对四个方向三种颜色的灯光控制,可选用12只单色led发光二极管作为交通灯,因为东西南北方向发光二极管的显示状态总是保持一致,因此可使南北方向红灯与红灯,黄灯与黄灯,绿灯与绿灯相串联的方式,东西亦如此。采用这种方式的目的是为了节省单片机的i/o口,这样的接法只使用了六个i/o 口,就完成了交通灯二极管红绿灯点亮的要求。

交通灯数码管倒计时显示电路中,因为东西方向时间显示相同,南北方向显示相同,故东南西北四个方向使用的是两个在一块的共阴极数码管,南北共接入p0口,东西共接入p2口,并都使用了上拉电阻,在显示过程中,采用了数码管按位动态显示,工作原理是:首先给数码管的个位位选口输出低电平,选中个位,显示数字,然后在极短的延时时间后,给数码管的十位位选输出低电平,选中十位,显示数字,然后依次循环上述操作。采用这样的电路方案,这样做第一可以节省单片机的i/o口,第二,增加了驱动能力。第三,在程序设计方面简单,易于实现。

整体方案完成的功能是当单片机上电后,系统进入正常工作状态,执行交通灯状态显示控制,同时将时间数据倒计时输入到led数码管上实时显示。在此过程中可随时调用急停按键中断。并在中断处理结束后再次按下按键重新返回原态继续执行。整体方案方框图如下图

示。

图表 1基于at89c51单片机交通灯模拟控制系统组成方框图

五、元件清单

表格 1单片机交通灯模拟控制系统元件清单

单片机 at89c51 1 核心控制

交通灯红绿黄三色二极管红3绿3黄3 红绿黄灯显示晶振 6mhz 1 晶振电路

电容 22pf 2 晶振电路

电解电容 10uf 1 复位电路

排阻 7位10k排阻 3 上拉电阻

数码管 2位共阴 4 显示电路

按键按钮 1 复位电路

按键闸刀 1 按键电路

电源 +5v 5 提供+5v电源

电阻 10k 3 电阻 240k 1

六、硬件电路图

1、单片机主电路:

图表 2单片机交通灯模拟控制系统主电路

2、交通灯二极管点亮电路:

图表 3 7位一体的上拉排阻图表 4 二极管点亮串联电路

东西南北各状态二极管点亮时p1口各位的状态如下表格(p1.7与p1.6的状态与二极管点亮无关,故没有列出):

其中0表示该位输出低电平,对应二极管点亮。

交通灯实验报告

交通控制器设计实验 一.实验目的 1.了解交通灯的亮灭规律。 2.了解交通灯控制器的工作原理。 3.进一步熟悉VHDL语言编程,了解实际设计中的优化方案。二.实验任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 三.原理分析 本系统主要由计数控制器和倒计时显示器电路组成。计数控制器实现总共90秒的计数,90秒也是交通控制系统的一个大循环;控制器控制系统的状态转移和红黄绿灯的信号输出;倒计时显示器电路实现45秒倒计时和显示功能。整个系统的工作时序受控制器控制,它是系统的核心。 控制器的整个工作过程用状态机进行描述,其状态转移关系如下图所示。五种状态描述如下: s0:东西方向红灯亮,南北方向绿灯亮,此状态持续40秒的时间; s1:东西方向红灯亮,南北方向黄灯亮,此状态持续5秒的时间;

s2:东西方向绿灯亮,南北方向红灯亮,此状态持续40秒的时间; s3:东西方向黄灯亮,南北方向红灯亮,此状态持续5秒的时间; s4:紧急制动状态,东西方向红灯亮,南北方向红灯亮,当紧急制动信号有效(hold=’0’)时进入这种状态。 当紧急制动信号无效(hold=’1’)时,状态机按照s0→s1→s2→s3→s0循环;当紧急制动有效(hold=’0’)时,状态机立即进入s4,两个方向红灯全亮,计数器停止计数;当紧急制动信号再恢复无效时,状态机会回到原来的状态继续执行。 四.电路设计 交通控制器系统顶层原理图如下图所示,它由计数控制器(control),45秒倒计时计数器(M45)模块组成。下面主要介绍计数控制器和倒计时计数器M45的设计方法。

plc交通灯实验报告

plc交通灯实验报告 篇一:PLC交通灯课程设计报告 信息与电子工程学院 课程设计报告 目录 一、课程设计概述 ................................................ .................. 3 1.1课程设计内容 ................................................ ........................... 3 1.2课程设计技术指标 ................................................ ................... 3 二、方案的选择及确定 ................................................ ........... 4 三、系统硬件设计 ................................................ .................. 5 四、系统软件设计 ................................................ .................. 6 五、触摸屏设计 ................................................ ...................... 8 六、系统调试 ................................................ ......................... 9 七、总结以体

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

红绿灯实验报告

红绿灯实验报告 上海交通大学材料科学与工程学院 实验目的: 通过Labview程序设计做出十字路口红绿灯的计算机模拟。 程序原理: 整体思路: 用户将纵向红灯时间t纵红、纵向黄灯时间t纵黄、纵向绿灯时间t纵绿输入完毕后,程序会将这三段时间相加作为一个循环的时长T,并把时间计数器的时间除以1000取整数部分,再用这个结果除以T取余数得到当前循环已进行的时间t,取整数得到已进行的循环次数n。为了简便起见,程序默认t横黄等于t纵黄。根据实际经验可知: 机动车道部分: t纵红= t横黄+ t横绿 t横红= t纵黄+ t纵绿 人行道部分: t纵红= t横绿= 机动车道部分t纵红 t横红= t纵绿= 机动车道部分t横红 因此,我们可以采用判断时间区间的办法控制各个指示灯的亮灭,即:令纵向红灯时间区间为[ 0,t 纵红 ]、纵向黄灯时间区间为[ t纵红 + t纵绿,T ]、纵向绿灯时间区间为[ t纵红,t纵红 + t纵绿 ]、横向红灯时间区间为[ t纵红,T ]、横向黄灯时间区间为[ t横绿,t纵红 ]、横向绿灯时间区间为[ 0,t横绿 ],利用判定范围元件判断t所符合的区间。当t符合某些红灯或绿灯的区间时,指定元件将布尔量直接输出到信号灯,从而点亮这些红灯或绿灯并保持其他红灯或绿灯不工作;当t符合黄灯的区间时,利用相应元件得到黄灯已工作的时间,并将其除以2取余数,判断余数是否等于0,将布尔量输入信号灯,达到让黄灯闪烁的目的。 显然,各对指示灯时间区间均不相同,但是同一方向上三种颜色的指示灯的时间区间相加正好可以构成一个完整的循环,所以某一确定方向上有且仅有一种颜色的交通灯在工作。另外,本程序通过控制时间区间,完美地实现了不同方向上指示灯的协同工作,很好地模拟了实际情况。 另外,程序利用while循环以及移位寄存器实现连续运行。根据时间计数器的性质,每计时1000毫秒就会自动停止一次,所以本程序的设计中,每次循环里时间计数器只运行1000毫秒,通过不停地循环实现程序的连续运行。将移位寄存器赋以初始值1,而开始计时的时候n = 0。当二者不相等时,利用元件把此时移位寄存器的数值再次寄存并继续循环;当二者相等后,利用元件把移位寄存器此时的数值加1后寄存并继续循环,则二者又不相等了,元件就会把此时移位寄存器的数值再次寄存并继续循环。依此类推,则程序就会连续运行下去,不会终止。 程序设计方案: 当前循环已进行的时间t和已进行的循环次数n的获取: 加入一个时间计数器。由于时间单位是毫秒,而用户输入的时间单位是秒,因此需要转换单位:把计数的时间用“商与余数”元件除以1000取整数部分,即可将毫秒转化为秒。记此结果为t0,然后把t纵红、t纵黄和t纵绿用复合运算元件相加得到T,再用“商与余数”元件将t0除以T取余数即可得到当前循环已进行的时间t,取整数即为已进行的循环次数n。 机动车道指示灯部分:

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

交通灯实验报告

学校代码:11460 南京晓庄学院本科生毕业综合设计 交通灯控制系统设计 traffic light control system design 院系:物理与电子工程学院 专业: 电子信息科学与技术 成员:郁艇妹(08409244) 周纬璐(08409247) 交通灯控制系统设计实验 一.设计目的 1. 通过本次课程设计进一步熟悉和掌握单片机的结构及工作原理,巩固和加深“单片 机原理与应用”课程的基本知识,掌握电子设计知识在实际中的简单应用。 2. 综合运用“单片机原理与应用”课程和先修课程的理论及生产实际知识去分析和解决 电子设计问题,进行电子设计的训练。 3. 学习电子设计的一般方法,掌握at89c52芯片以及简单电子设计过程和运行方式, 培养正确的设计思想和分析问题、解决问题的能力,特别是总体设计能力。 4. 通过计算和绘制原理图、布线图和流程图,学会运用标准、规范、手册、图册和查阅 有关技术资料等,培养电子设计的基本技能。 5. 通过完成一个包括电路设计和程序开发的完整过程,了解开发单片机应用系统全过程, 为今后从事的工作打基础。 二.设计要求 1.利用单片机的定时器定时,实现道路的红绿灯交替点亮和熄灭。 2.以at89c52单片机为核心,设计一个十字路口交通灯控制系统。用单片机控制led灯 模拟交通信号灯显示。假定东西、南北方向方向通行(绿灯)时间为25秒,缓冲(黄灯)时 间5秒,停止(红灯)时间35秒。 3.南北方向、东西方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器 进行显示(采用计时的方法)。 三.实验原理 1.基本原理 主体电路:交通灯自动控制模块。这部分电路主要由80c51单片机的i/o端口、定时计 数器、外部中断扩展等组成。 本设计先是从普通三色灯的指示开始进行设计,用p1口作为输出。程序的初始化是东西 南北方向的红灯全亮。然后南北方向红灯亮,东西方向绿灯亮,60秒后东西方向黄灯闪亮5 秒后南北方向绿灯亮,东西方向红灯亮。重复执行。倒计时用到定时器t0,用p2口作为led 的显示。二位一体的led重复执行60秒的倒计时。作为突发事件的处理,本设计主要用到外 部中断ex0。用一模拟开关作为中断信号。实际中可以接其它可以产生中断信号的信号源。 2.芯片at89c52 at89c52是一个低电压,高性能cmos 8位单片机,片内含8k bytes的可反复擦写 的flash只读程序存储器和256 bytes的随机存取数据存储器(ram),器件采用atmel 公司的高密度、非易失性存储技术生产,兼容标准mcs-51指令系统,片内置通用8位中央处 理器和flash存储单元,功能强大的at89c52单片机可为您提供许多较复杂系统控制应 用场合。 at89c52有40个引脚,32个外部双向输入/输出(i/o)端口,同时内含2个外中断口, 3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,at89c52可以按照常规

fpga交通灯实验报告

交通灯实验报告

一,实验目的 实现两路信号灯交替亮起,并利用两组数码管分别对两路信号进行倒计时。 两路信号时间分别为: V:绿灯(30S)H:红灯(35S) 黄灯(5s)绿灯(30S) 红灯(35S)黄灯(5S) 二,实验步骤 建立工程 可在欢迎界面点击“Creat a New Project”进入工程建立界面,亦可关闭欢迎界面,点击菜单栏的“File”,点击“New Project Wizard”进入建立工程界面。 右侧为建立工程界面,点击next。

在此界面选定工程路径,取好工程名,点击“Next”。注意:路径中不能有中文,工程名也不能有中文。 一直点击“Next”进入器件设置界面,DE2-70开发工具采用

的Cyclone II系列的EP2C70F896C6N。点击“Finish”,完成工程建立 1、点击“File”,点击“New” 选择“Verilog HDL” 2,点击主界面工具栏中的选择“Verilog HDL” 3、写入verilog代码。

代码如下: module traffic(Clk_50M,Rst,LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V,Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL,led15); parameter S1=2'b00; parameter S2=2'b01; parameter S3=2'b10; parameter S4=2'b11; input Clk_50M,Rst; output LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V; output[6:0] Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL; output led15; //-------------div for 1Hz-------start---- reg Clk_1Hz; reg [31:0] Cnt_1Hz; always(posedge Clk_50M or negedge Rst) begin if(!Rst) begin Cnt_1Hz<=1; Clk_1Hz<=1; end else begin if(Cnt_1Hz>=25000000) begin Cnt_1Hz<=1; Clk_1Hz<=~Clk_1Hz; end else Cnt_1Hz<=Cnt_1Hz + 1; end end //-----------div for 1Hz------end----- reg[7:0] Cnt30,CntH,CntV,CntHH,CntVV; reg[7:0] CntDis,CntDiss; //-----------30 counter and seg7---start--- reg LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V; always(posedge Clk_1Hz) begin

红绿灯实验报告

微机原理与接口技术实 验报告 综合实验红绿灯仿真及实现 专业:电气工程及其自动化班级:电气1311 学号: 201301001206 姓名:龚玉辛 预习报告成绩:实验成绩: 实验日期及时间:(1) 2016年5月20日 (2) 2016年5月26日 (3) 机位号:

一实验一:在Proteus仿真实现交通信号灯系统。 (1)交通信号灯系统设计描述(实现内容) a)在DATAS 数据段内可以任意初始化红灯,绿灯,黄灯所要 显示的秒数,实现通用化。。 b)紧急情况下按下按钮,所有红灯亮,数显管全部显示0 c)紧急情况解除后,恢复正常,用另一个按钮(8259中断) (2)P roteus中实现原理图 所用元件:7SEG-BCD、74LS138、74LS373、8086、8253A、8255A、 8259、BUTTON、LED-GREEN、LED-YELLOW、LED-RED. (3)源程序清单 DATAS SEGMENT hong DB 55 lv dW 52 huang db 2h,1h,0h z=$-huang zt1 db? zt2 db?

ZT3 DB? DATAS ENDS STACK SEGMENT'STACK' SUR1 DB 1000 DUP(?) STACK ENDS CODES SEGMENT ASSUME CS:CODES,DS:DATAS START: MOV AX,DATAS MOV DS,AX CLI PUSH DS mov al,80h mov dx,8006H OUT DX,AL MOV DX,0A006H MOV AL,00110001B OUT DX,AL MOV DX,0A000H MOV AL,00 OUT DX,AL MOV AL,10 OUT DX,AL MOV AX,0 MOV DS,AX MOV DI,4*60H MOV AX,OFFSET NEXT2 MOV [DI],AX MOV AX,SEG NEXT2 MOV [DI+2],AX mov ax,0 mov ds,ax MOV DI,4*61H MOV AX,OFFSET NEXT1 MOV [DI],AX MOV AX,SEG NEXT1 MOV [DI+2],AX

plc红绿灯实验报告

plc红绿灯实验报告 篇一:PLC交通灯实验报告 十字路口交通灯控制的模拟实验报告 一、实验目的 1、熟练使用各基本指令,定时器,计数器,内部指令等。 2、根据控制要求,掌握PLC的编程方法和程序调试方法。 3、掌握交通灯的实验设计与三菱PLC的连线方法。 二、实验要求 交通灯模拟控制实验区中,下框中的南北红、黄、绿灯R、Y、G分别接主机的输出点Y2、Y1、Y0,东西红、黄、绿灯R、Y、G分别接主机的输出点Y5、Y4、Y3,模拟南北向行驶车的灯接主机的输(本文来自:小草范文网:plc红绿灯实验报告)出点Y6,模拟东西向行驶车的灯接主机的输出点Y7;下框中的SD接主机的输入端X0。上框中的东西南北三组红绿黄三色发光二极管模拟十字路口的交通灯。 信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始空座,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯都熄灭。 南北红灯亮维持25秒,在南北红灯亮的同时东西绿灯也亮,并维持20秒。到20秒时,东西绿灯闪亮,闪亮3秒后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2秒。到2秒时,东西黄灯熄灭,东西红灯亮,同时,南北红灯熄灭,

绿灯亮。 东西红灯亮维持30秒。南北绿灯亮维持25秒,然后闪亮3秒后熄灭。同时南北黄灯亮,维持2秒后熄灭,这时南北红灯亮,东西绿灯亮。周而复始。 1 三、程序设计步骤 1、过程分析: 过程一:东西向车行驶 2、设置定时器 当司机看到红灯变为绿灯的时候需要有时间反应,启动车辆等。因此在车子行驶和交通灯变化之间设置1s的间隔。 ②设置T22、T222、T221、T223的原因是: T2和T7只能控制交通灯的闪亮时间,并不能使其控制。 T22一个定时器并不能同时控制东西绿灯与南北绿灯的闪烁,要分别设置控制器,所以通过T22、T222的分别作用,使东西绿灯与南北绿灯分别在高、低电平交替的时候闪亮。 2 4、按照设置的I/O分配进行接线。 5、打开PLC实验箱和实验面板上的电源开关,将预先编好的实验程序写入计算机,再下载到PLC中。下载时,RUN/STOP开关拨到STOP位置。 6、下载完毕后,将RUN/STOP开关拨到RUN状态,若程序无逻辑错误则主机上的RUN运行指示灯亮,否则出错指示

交通灯PLC控制实验报告

交通灯的PLC控制实验报告 学院:自动化学院班级:0811103 姓名:张乃心学号:2011213307 实验目的 1.熟悉PLC编程软件的使用和程序的调试方法。 2.加深对PLC循环顺序扫描的工作过程的理解。 3.掌握PLC的硬件接线方法。 4.通过PLC对红绿灯的变时控制,加深对PLC按时间控制功能的理解。 5.熟悉掌握PLC的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器MicroLogix1500系列PLC的DEMO实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的PC电脑)及编程电缆。3.导线若干 实验原理 交通指挥信号灯图

I/O端子分配如下表 输入输出 启动按钮IN/0 东西红灯OUT/0 东西黄灯OUT/1 东西绿灯OUT/2 南北红灯OUT/3 南北黄灯OUT/4 南北绿灯OUT/5 注:PLC的24V DC端接DEMO模块的24V+ ; PLC的COM端接DEMO模块的COM 。 系统硬件连线与控制要求 采用1764-L32LSP型号的MicroLogix 1500可编程控制器,进行I/O端子的连线。它由220V AC供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。 1764:产品系列的代号 L:基本单元 24:32个I/O点(12个输入点,12个输出点) B:24V直流输入 W:继电器输出 A :100/240V交流供电 下图为可编程控制器控制交通信号灯的I/O端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。O/2-O/4为南北交通信号灯,O/5-O/7为东西交通信号灯。 DC COM I/0 V AC VDC V AC VDC O/2 O/3 O/4 O/6 O/5 O/7 红绿 黄红绿 黄 24V DC 24V DC 24V DC MicroLogix1500

8255,8253交通灯模拟实验报告

(-)课题简介 (2) (二)设计方案 (2) (三)具体设计 (4) 1.电路原理 (4) 2. ........................................................ 程序原理: 6 3.系统原理 (8) 1)....................................................................... 8259, 8255A, 8254 工作原理:8 4.关键技术分析 (13) 1)实时控制和管理设计 (13) 2)发光二极管闪烁程序 (14) 3)源程序 (15) (四)测试 (21) 1.在测试中遇到的问题记录 (21) 2.测试结果 (22) (五)....................... 总结22 (六)........................... 设计体会23 (七)........................... 参考文献24 (一)课题简介 十字道口的红绿灯是交通法规的无声命令,是司机和行人的行为准则。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。当前,国大多数城市正在采用“自动”红绿交通灯,它具有固左的“红灯一绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。在交通灯的通行与禁止时间控制显示中,通常要么东西、南北两方向务50秒:要么根拯交通规律,东四方向60秒,南北方向40秒,时间控制都是固泄的。交通灯的时间控制显示,以固定时间值预先"固化”在单片机中,每次只是以一泄周期交替变化。但是,实际上不同时

交通灯控制器实验报告

........学院 《单片机原理及应用》课程设计报告 题目:交通灯控制器 班级: 学生姓名: 学号: 指导老师: 日期:年月日

摘要 当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。 设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:本设计是十字路口交通灯控制,所以依据实际交通灯的变化情况和规律,给出如下需求:一个十字路口为东西南北走向。初始状态25s为南北红灯,初始状态20s东西绿灯。然后转状态1,南北红灯,东西绿灯闪烁3s,黄灯2s。再转状态2,南北红灯灭,绿灯亮,东西绿灯灭,红灯亮。再转状态3,东西红灯,南北绿灯闪烁3s,黄灯2s。再转初始状态。 关键词:信号灯电路交通控制系统EDA技术

目录 一. 绪论 (4) 引言 1.1 设计任务 (4) 1.1.1设计题目 1.1.2设计内容 1.2 系统需求 (4) 1.2.1基本要求 1.2.2发挥部分 1.3小组成员及个人完成情况 (4) 1.3.1小组成员 1.3.2个人完成情况 二.方案比较、方案设计与方案论证 (5) 2.1电源提供方案 2.2显示界面方案 三.单元模块设计 (6) 3.1单片机及其外接电路 3.2 交通灯时间显示模块 3.3按键控制模块 3.4声音报警系统 四.系统调制与分析 (10) 五.总结与心得 (14) 参考文献 (14) 附录一系统原理图 (15) 附录二元件清单 (16) 附录三系统源程序 (17)

51单片机控制的交通灯系统实验报告

系统实验报告 ——基于51单片机的交通灯设计 专业:XX 学生姓名:xx XX 学号:00000000000 指导教师:wwwwwwwwwww 2000年x月x日

目录 1 设计任务和性能指标 (1) 1.1设计任务 (1) 1.2性能指标 (1) 2 设计方案 (2) 2.1任务分析 (2) 2.2方案设计 (2) 3 系统硬件设计 (3) 3.1单片机的最小系统 (3) 3.2电源电路 (4) 3.3数码管显示时间电路设计 (4) 3.4信号灯控制电路设计 (5) 4 系统软件设计 (5) 4.1主程序设计 (5) 5 调试及性能分析 (6) 5.1调试分析 (6) 5.1.1 软件调试 (6) 5.1.2 硬件调试 (6) 5.1.3 系统功能调试 (6) 6 心得体会 (6) 参考文献 (8) 附录1 系统原理图 (9) 附录3 程序清单 (10) 附录3元器件清单 (14)

1 设计任务和性能指标 1.1设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图上图所示。设东西向为主干道,南北为支干道。 1.2性能指标 1. 状态1:仅亮灯,数码管不工作。 按下键4,红/黄/绿三色灯交替亮: 红—〉(20秒)黄(闪烁)—〉(5秒)绿—〉(20秒) 黄(闪烁)—〉(5 秒)红 2. 状态2:灯和数码管相结合,模拟十字路口的交通灯 在以上功能的基础上数码管倒计时显示时间。 南 北 东 西

EDA交通灯实验报告

EDA实验报告 题目:交通灯设计 学院:电子工程学院 专业:电子信息工程 作者:王正帅 14020120007 导师:孙万蓉

EDA实验报告:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有5秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)东西主干道上的绿灯时间为25秒,南北支干道的绿灯时间为25秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 路口示意图如下: 图 1 路口交通示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明 (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。

因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供50MHz的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1Hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。

单片机 交通灯实验报告

2014级电气工程及其自动化单片机原理及应用课程设计安徽农业大学经济技术学院模拟电子技术课程设计报告书 课题名称基于单片机的简易交通灯设计 姓名 学号 院、系、部机械工程系 专业电气工程及其自动化 指导教师邰清清 2016年 12 月日

摘要 本设计是单片机控制的交通灯控制系统设计,随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。当前,国内大多数城市正在采用“自动”红绿交通灯,它具有固定的“红灯—绿灯”转换间隔,并自

动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。 现在我们利用“自动控制”控制交通灯的方法。将事先编制好的程序输入单片机,利用单片机的定时、中断功能;能够根据十字路口两个方向上车辆动态状况,采用查询的方式,根据具体情况,自动给予时间通行,其中利用中断方式来处理特殊情况。这样既方便驾驶员、路人,同时还可以紧急处理一些紧急实况。同样具有红、黄、绿灯的显示功能,为驾驶员、路人“照明”。 2系统总体方案及硬件设计 2.1设计要求: (1)南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行间为24秒,时间可设置修改。 (2)在绿灯转为红灯时,要求绿灯闪烁4秒钟; (3)黄灯亮2秒后,方可通行; (4)有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。 2.2设计任务: (1)东西、南北车辆交替运行,时间可设置修改。 (2)绿灯转为红灯时,绿灯闪亮(闪烁时间4s); (3)黄灯亮2秒后,方可通行; (4)能对交通运行进行控制。 2.3总体设计

微机原理 交通灯实验报告

南通大学计算机科学与技术学院 微机原理课程设计 报告书 课题名(硬件)交通灯控制系统 课题名(软件)_______计算器________________ 班级____建筑电气______________ 姓名___________________ 学号 ___________ 指导教师 日期 2011.6.11 ~ 2012.6.21

一、硬件设计题 一、设计目的 1.利用8253定时,8255设计电路,实现十字路口交通灯模拟控制。 2.实现能自动控制和手动应急控制。(◆) 3.实现能随时可以调整自动模式的绿灯和红灯时间。(☆) 二、设计原理与硬件图 8255的PA端口输出数码管计时显示数值所对应的段码。 8255的PB,PC端口控制发光二极管,即红、黄、绿的亮灭。 8253的3个计时器同时工作,计时器0和1工作于方式3,计时器2工作于方式0,计时器0输入1MHZ时钟信号,输出1KHZ到计时器1的时钟端,计时器输出1HZ到计时器2,利用分频作用实现。 三、程序流程图

四、程序代码 A_PORT EQU 200H B_PORT EQU 202H C_PORT EQU 204H CTRL_PORT EQU 206H CLK0 EQU 100H CLK1 EQU 102H CTRL EQU 106H DA TA SEGMENT BUF1 DB 09H,11H,21H,12H,0CH,4AH,89H,49H BUF2 DB 0C5H,0A3H,95H,93H,95H,13H,15H,23H,0H DA TA ENDS STACK SEGMENT PARA STACK 'STACK' DB 100 DUP(?) STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AL,10010000B MOV DX,CTRL_PORT OUT DX,AL

相关文档
最新文档