人体脉搏测量仪设计毕业设计(论文)

人体脉搏测量仪设计毕业设计(论文)
人体脉搏测量仪设计毕业设计(论文)

编号:

毕业设计(论文)说明书题目:人体脉搏测量仪设计

题目类型:理论研究实验研究

工程设计√工程技术研究软件开发

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

指导教师评价:

一、撰写(设计)过程

1、学生在论文(设计)过程中的治学态度、工作精神

□优□良□中□及格□不及格

2、学生掌握专业知识、技能的扎实程度

□优□良□中□及格□不及格

3、学生综合运用所学知识和专业技能分析和解决问题的能力

□优□良□中□及格□不及格

4、研究方法的科学性;技术线路的可行性;设计方案的合理性

□优□良□中□及格□不及格

5、完成毕业论文(设计)期间的出勤情况

□优□良□中□及格□不及格

二、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

三、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

建议成绩:□优□良□中□及格□不及格

(在所选等级前的□内画“√”)

指导教师:(签名)单位:(盖章)

年月日

评阅教师评价:

一、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

二、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

建议成绩:□优□良□中□及格□不及格

(在所选等级前的□内画“√”)

评阅教师:(签名)单位:(盖章)

年月日

教研室(或答辩小组)及教学系意见

教研室(或答辩小组)评价:

一、答辩过程

1、毕业论文(设计)的基本要点和见解的叙述情况

□优□良□中□及格□不及格

2、对答辩问题的反应、理解、表达情况

□优□良□中□及格□不及格

3、学生答辩过程中的精神状态

□优□良□中□及格□不及格

二、论文(设计)质量

1、论文(设计)的整体结构是否符合撰写规范?

□优□良□中□及格□不及格

2、是否完成指定的论文(设计)任务(包括装订及附件)?

□优□良□中□及格□不及格

三、论文(设计)水平

1、论文(设计)的理论意义或对解决实际问题的指导意义

□优□良□中□及格□不及格

2、论文的观念是否有新意?设计是否有创意?

□优□良□中□及格□不及格

3、论文(设计说明书)所体现的整体水平

□优□良□中□及格□不及格

评定成绩:□优□良□中□及格□不及格教研室主任(或答辩小组组长):(签名)

年月日

教学系意见:

系主任:(签名)

年月日

摘要

本课题是人体脉搏测量仪的设计。由于脉搏信号的特殊性,在设计时必须要注意实现测量的准确。该系统的重点就在于要求实现测量的简便化和精确化。系统要在小于十秒的时间内,测量出人体一分钟的脉搏,并且保证误差在2次以内。本系统以89S51单片机作为中心,通过使用单片机来实现系统最核心的计算脉搏功能。在信号的前端处理上,使用压电陶瓷片采集人体脉搏信号,然后经过AD620放大,施密特触发器整形,低通滤波器滤波等一系列操作,将脉搏信号转换为同频率的脉冲信号输入到单片机内,并利用单片机对其进行计数。计数的方法是利用单片机的计时器,计算一次心跳的时间,然后由该周期计算出频率,继而就可以求出一分钟的脉搏数。按照理论来说,只要有一次心跳信号就可以。但是要考虑到计算的精确性,可以设定为测量五次心跳信号,然后再求脉搏就可以使结果比较精确。计数结果将最终送至液晶屏1602来进行显示。虽然压电陶瓷片的性能并非很好,在信号的采集上不能实现非常精确的采集,但是它的价格低廉,并且在经过系统的信号调理电路后,也能比较满意的实现我们所要实现的目标。整个系统耗电低,体积小,具有便携性与精确性。经过多次调试和实验,本系统基本实现了设计所要求的指标。

关键词:脉搏测量;压电陶瓷片;液晶显示屏;单片机

Abstract

This topic is a design of body pulse measuring instrument. Because of the specificity of the pulse signal, the design must pay attention to achieve an accurate measurement.The point of this design is the simple and precise of the measurement.We need to measure the pulse of the human body in one minute in less than 10 seconds of time,and to ensure that the error in less than 2 times..The whole system is center on single-chip microcomputer 89s51,using the signle-chip to achieve the system core function of counting pulse.In the front-end of the signal, we use piezoelectric ceramics to collect the signal of the human body pulse.And then,after after amplification of the AD620, shaping of the 555, filtering of the low-pass filter and other operations,the signal will be converted to the pulse signal with the same frequency,and this signal will be input to the single-ship.The single-ship will count to this.The method of counting is using the timer of the single-ship,and then use the cycle,get the frequency,by the frequency,we can get the number of the one-minute pulse. In accordance with the theory,as long as there is one heart signal,the fianl result can be got.But taking into account the accuracy of the calculation,we can set measuse five times for the finall result.By this ,we can make the result more precise.The final result of the count will display in the 1602 LCD screen.Although the performance of the piezoelectric ceramics is not very good,in the signal collection.it can’t do it very precise.But its price is very low,and after the signal conditioning circuit of the system,the signal can be quite satisfactory to achieve our objectives.The entire system works with low power consumption,small size,with the portability and accuracy.After repeated testing and experiments,this system can achieve the basic requirements of this design.

Key words :Pulse measurement; piezoelectric ceramics; LCD;single-ship

目录

引言 (1)

1 设计任务及要求 (3)

1.1 设计任务 (3)

1.2 设计要求 (3)

1.3 设计时所遇到的问题 (3)

2 系统总体设计 (3)

2.1 方案论证 (3)

2.2 总体设计框图 (4)

3 系统硬件设计 (5)

3.1 脉搏信号采集 (5)

3.1.1传感器的选择 (5)

3.1.2三种方案的优缺点比较 (6)

3.1.3压电陶瓷片介绍 (7)

3.2 信号调理单元 (7)

3.2.1一级放大电路 (8)

3.2.2二阶滤波器电路 (10)

3.2.3二级放大电路 (12)

3.3 整形电路 (14)

3.4 电源滤波电路 (16)

3.5 单片机电路 (16)

3.6 显示系统 (18)

4 系统软件设计 (19)

4.1 软件部分设计 (19)

4.1.1主程序模块 (20)

4.1.2液晶驱动模块 (21)

4.2 软件开发环境 (21)

5 测试方案及结果 (21)

5.1 测试方案 (21)

5.2 模拟测试结果 (21)

5.2 实际测试结果 (22)

6 结束语 (22)

谢辞 (24)

参考文献 (25)

附录 (26)

引言

在我国传统中医学的诊断中,“望、闻、问、切”是最基本的四个方面。而在其中,切,也就是脉诊,占有非常重要的地位。通过脉诊,医生可以对患者的身体状况有一个大概的了解,进而对症下药。脉搏信号可以直接反应出患者心脏的部分状况,我国传统中医学认为,通过脉诊可以了解到患者脏腑气血的盛衰,可以探测到病因,病位,预测疗效等。

从近代医学的角度来看,人体循环系统承担着协调全身各组织的能量代谢,输送氧气、营养物质,运走代谢废物等重要的工作,还承担运送抗体、激素等物质以协调整体的动态平衡。从整体的角度对疾病进行综合分析,显然循环系统的信息将占很重要的比重;从整个循环系统来看桡动脉介于大动脉与小动脉之间,由于心脏的舒缩、内脏血容量的变化、血管端点阻抗、管道内脉波的反射、血液的粘滞性、血管壁的粘弹性等因素使脉象携带着有关心脏运动、内脏循环、外周循环等丰富的心血管系统及整体的动态信息。因此脉诊的临床意义很大,它的机理是急待于我们进行研究的。

鉴于脉诊的重要性,人们对于脉搏测量一直非常关注,早在1860年Vierordt 创建了第一台杠杆式脉搏描记仪,国内20世纪50年代初朱颜将脉搏仪引用到中医脉诊的客观化研究方面。此后随着机械及电子技术的发展,国内外在研制中医脉象仪方面进展很快,尤其是70年代中期,国内天津、上海、广州、江西等地相继成立了跨学科的脉象研究协作组,多学科共同合作促使中医脉象研究工作进入了一个新的境界。

脉搏测量仪的发展主要向以下几个趋势发展:

(1) 自动测量脉搏并且对所得到的脉搏进行自动分析。

目前很多脉搏测量仪都具有检测血氧等其他的功能,但是对这些信号的分析和诊断还需要一些有经验的医生观察,进行分析后才能确认结果,浪费大量的人力,且由人为引入的误差较大。因此,未来脉搏自动检测的内容将更加详细,自动分析诊断功能也更强大。

(2) 数字化技术等先进技术的应用。

随着数字科学技术的发展,脉搏测量仪集成度将更高,更便于携带。数字信号处理的运用将使干扰更小,测量更为准确。

(3)多功能化越来越明显

目前的脉搏测量仪,一般都具有测试血氧,心电图等等功能,单纯的脉搏测量仪已经很少见。随着电子技术的发展,脉搏测量仪必然可以实现更多的功能。

本设计所使用的系统利用压电陶瓷片将脉博转换为电压信号,经过信号调理

后利用AT89S51单片机进行信号采集和处理,在短时间内,测量出人体一分钟的脉搏数,并将心率进行实时显示,便于携带。达到了方便、快速、准确地测量心率的目的。这样的脉搏测量系统性能良好,结构简单,性价比高,输出显示稳定,比较适应大众化,适合家庭进行自我检查以及医院护士进行每日的临床记录。

1 设计任务及要求

1.1 设计任务

本课题要求利用传感器对人体脉搏信号进行采集,设计相应的信号调理电路,然后利用通过对脉搏信号进行测量,来进行实时显示测量结果。

1.2 设计要求

(1)、完成一次测量时间:<10s;

(2)、脉搏测量精度:≤±2次/分钟;

(3)、能够实时显示测量结果。

1.3 设计时要考虑的问题

由于人体的脉搏信号具有频率低、幅度小干扰大,不稳定度低,随机性强等特点,使得对脉搏信号的采集放大电路的设计提出了很严格的要求,尤其是抗干扰变为十分重要,需要设计低通滤波器进行滤波。选择放大器时需要从增益、频率响应,输入阻抗,共模抑制比,噪声,漂移等几个方面加以综合考虑。

(1)抗干扰

○1工频50HZ干扰及其各次谐波

使用频率为50HZ的市电的电子仪器设备会对检测系统会产生较大的干扰,其幅值大约是脉搏信号峰峰值的50%,是主要的干扰源

○2肌电干扰

肌肉的收缩会产生微伏级的电势,其幅值大约是脉搏信号峰峰值的10%,维持时间大约是50ms,频带范围可以在0HZ~10000HZ。

○3由于呼吸引起的基线漂移和ECG幅度变化

呼吸引起的基线漂移可以看成是一个以呼吸的频率加入ECG信号的窦性成分(正弦曲线),这个正弦成分的幅度和频率是变化的。呼吸所引起的ECG信号的幅度的变化可以达到15%。基线漂移的频率是从0.15~0.3HZ。

(2)低噪声、低漂移

在脉搏信号放大器中,由于增益较高,噪声和漂移是两个较重要的参数。脉搏信号放大器运行过程中的噪声主要表现为电子线路的固有热噪声和散粒噪声,这些都属于白噪声,其幅值为正态分布。为了获得一定信噪比的输出信号,对放大器的低噪声性能有严格要求。另外,温度变化会造成零点漂移,漂移现象限制了放大器的输入范围,使得微弱的缓变信号无法被放大。而脉搏信号具有很低的频率成分,为了能正常测量,必须采取措施来限制放大器的漂移。所以放大器应选用低漂移,高输入阻抗并且具有高共模抑制比的集成运放电路。

2 系统总体设计

2.1 方案论证

脉搏测量仪要实现对脉搏信号的检测,并且能够对脉搏信号进行处理,并进而求得脉搏数来显示。考虑到系统的实现,有两种方案可以实现。

方案一:使用纯硬件电路来实现。整个系统的框图如下图图2.1所示

图2.1 纯硬件脉搏测量仪框图

方案二:使用单片机电路来实现。通过信号调理电路,将脉搏信号转换为数字信号,然后利用单片机来实现脉搏测量功能。使用该方案其框图如下图图2.2所示。

图2.2 单片机脉搏测量仪框图

通过比较以上两种方案。方案一由于使用纯硬件方式,系统稳定度比较高。但是功能有限,灵活度较低,也不能很好的实现锻炼自己的目的。而单片机方案有较大的活动空间,不但能实现所要求的功能而且能在很大的程度上扩展功能,而且还可以方便的对系统进行升级,所以我们采用后一种方案。

2.2 总体设计框图

脉搏测量仪系统总框图,如图2.3所示。系统由五个部分组成:信号采集单元,信号调理单元,信号整形单元,单片机单元,显示单元。其中信号采集单元标准时标(分)发生器 LED 显示(3位) 计数器 译码器 放大整形 脉膊检测 人体

信号调理 脉膊检测 人体 单片机电路 显示

主要是选用合适的传感器将脉搏的压力信号转换为电信号,一般传感器输出的电压都在几毫伏左右。信号调理单元主要包括信号的低通滤波,以及实现信号的放大,经过信号调理单元,几毫伏的脉搏信号的电压被放大为4V-5V左右。信号整形单元则将模拟信号转化成数字信号,将脉搏信号转换为同频率的脉冲。单片机单元通过计时器求出一次脉搏的时间,并进而得出脉搏数,然后将该数据送到显示单元进行显示。显示单元选择数码管或者液晶屏,对数据进行实时显示。

信号整形单元信号调理单元脉搏采集单元

单片机单元显示单元

图2.3 系统总体框图

3 系统硬件设计

系统的硬件框图如图2.3所示,包括五个部分组成。下面将分别介绍该五个单元。

3.1 脉搏信号的采集

该单元要将脉搏跳动的压力信号转换为电信号,因此需要使用传感器来实现。

3.1.1传感器的选择

①压电式传感器

目前常用的是一次性心电电极,它是用印刷方法制得的Ag/ Agcl传感器。这种传感器采用接扣与敏感区分离的方法,能明显的减少由于人体运动产生的干扰。电极的好坏对采集到的心电信号质量起着至关重要的作用,采用的电极应有贴力强,能紧附在人体表面,柔软、吸汗、极化电压低、导电性良好等特点。当选用电极传感器时,需要3个电极分别置于左右手和左腿,构成标准导联。临床上为了统一和便于比较所获得的脉搏信号,在检测脉搏信号时,对电极的位置,引线与放大器的连接方式都有严格的统一规定。

目前市场上有一种采用新型高分子压电材料聚偏氟乙烯研制的压电传感器,其灵敏度高,频带范围好,结构简单,便于使用。当手指前端受到轻微的压力时,可以感觉到手指前端在血压的作用下有一张一弛的感觉,将这个信号用传感器提取出来,转变为电信号,通过指脉的波形检测,就可以获得人体的脉搏信号。

○2光电式传感器

血液是高度不透明的液体,光照在一般组织中的穿透性要比血液中大几十

倍,据此特点,采用光电效应手指脉搏传感器来拾取脉搏信号。反向偏压的光敏二极管,它的反向电流具有随光照强度增加而增加的光电效应特性,在一定光强范围内,光敏二极管的反向电流与光强呈线性关系。指端血管的容积和透光度随心搏改变时,将使光电三极管极管收到不同的光强,并由此产生的光电流均随之作相应变化。常用检测脉搏的光电传感器分为红外对管和红外放射管。

采用红外对管。将对管夹于手指端部,通过手指的血液浓度会随着心脏的跳动发生变化,红外对管对应的信号便会发生相应的变化,采集此信号经过放大,滤波,比较等处理便可以得到理想的信号。。

采用反射式的红外管。现在市场上的心率计普遍采用这种传感器来采集信号,因为此红外管接收和发射都在手指的同一侧,因此便不用考虑每个人手指情况不同所造成的麻烦。接收的是血液漫反射回来的光,此信号可以精确地测得血管内容积变化。

○3集成传感器

当前,市面上有很多类型的集成心电传感器,其灵敏度高,集成度高,直接就可以反映出心率的变化,且已包含了滤波等抗干扰电路,波形经过放大可以直接处理使用。缺点是价格非常昂贵,一般均在五百元以上,就本次设计来说,考虑到经费以及锻炼自己的目的,不选择使用该型传感器。

3.1.2三种方案的优缺点比较

○1光电式:

优点:灵敏度高,易于操作,响应速度快,结构简单。

缺点:1、外部光源的变化对测量结果的影响较大;

2、需要购买专门的医用光电传感器,价格较贵且不易购买;

3、对这样的器件接触很少,对其进行调试时可能会出现较大困难。

○2压电式:

优点:结构简单,实时性好,工作频带宽,应用电路简单,且价格低廉。

缺点:直接与人体相接触,容易因为人体肌肉的颤动等而产生干扰。并且容易受到外界其他信号的干扰。

○3集成式:

优点:集成度高,包含了滤波,放大电路,可以直接输出信号,便于操作,有效的减少了各种干扰。

缺点:降低了本任务的难度,如果采用该传感器,只需将其直接接上单片机即可实现功能,且价格非常昂贵。

考虑到种种情况,结合本系统的设计要求以及经费的考虑,最终选择压电式陶瓷片。该传感器价格较低,而且输出电压变化较为明显,可以实现我们的实验

目的。

3.1.3压电陶瓷片介绍

压电陶瓷片的外观和电路符号如下图3.2所示。压电片包括三个部分,镀银层,压电陶瓷,以及铜片。外部压力作用于铜片时,压电陶瓷就可以感受压力而产生电信号,并最终通过镀银层将该信号输出。在使用时,压电陶瓷片要通过导线与电路板连接,注意在焊接压电陶瓷片时,时间不能太长以免烫坏压电陶瓷片的镀银层。

图3.1 压电陶瓷片的符号及外观

由于压电陶瓷片的资料比较少,为了确定使用该传感器能够实现本次设计的目的,先要对其进行实验,来确定它的输出电压是否符合要求。

使用实验室砝码来测试,其结果如下表表3.1所示。

表3.1 压电陶瓷片输出电压测试表

压力(N) 输出电压(mV)

0.196 4.41

0.392 4.55

0.588 4.77

0.784 4.80

0.98 4.85

1.176 5.05

1.372 5.35

1.568 5.54

由于只需要4mv-5mv左右的电压输出,就可以实现设计要求。由本次试验,可以得知压电陶瓷片可以实现我们所要达到的目标。

3.2 信号调理单元

信号调理电路包括对信号的放大和滤波两个部分。由于传感器输出的电压比较小,在几毫伏左右,且频率较低,需要低噪声,低漂移,高输入阻抗的放大器,所以选择使用仪表放大器。肌电干扰可能会导致放大器的静态工作点偏移,甚至使放大器达到饱和,所以第一级放大器的放大倍数不能太高。因此还需要另一个

放大器。此外,为了滤去高频信号和市电的干扰,还需要设计一个低通滤波器。这部分电路的框图如下图图3.2所示。

图3.2 信号调理单元框图

下面,将分别介绍三个部分。

3.2.1一级放大电路

一级放大电路是整个系统设计的重点,脉搏测量仪要求在脉搏信号频率范围内,不失真的放大所采集的微弱信号,这要求所用的放大器必须具有低噪声,低漂移,低失调参数,高共模抑制比,高输入阻抗,线形度小等特点。

为了达到上述要求,并联型双运放放大电路能满足其要求

图3.3 并联型双运放放大电路

前两个运放为同向比例放大器,输入阻抗很高,它对共模信号有很高的抑制比。由于Rx连接于这两个放大器的求和点之间,当一个差分电压加到医用放大器的输入端时,整个输入的电压都呈现在Rx两端。由于Rx两端的电压等于V2-V1,所以流过Rx的电流等于(V2-V1)/Rx,因此输入信号将通过放大器获得增益并且得到放大。

这种电路的优点在于:

a,高共模抑制比;

b,通常只需改变电阻Rx大小可改变增益。

基于单片机的电子脉搏计的设计

基于单片机的电子脉搏计的设计

毕业设计论文 基于单片机的电子脉搏计的设计

人们在日常生活或是医学上常常是通过测量脉搏跳动的力度和频率来检测身体的健康状况,而普通的方法是用手按在人腕部的动脉上,根据脉搏的跳动进行计数,这样不仅测量时间长而且精度不高,为了节省测量时间,一般不采用长时间测量,而是几秒钟之内测出脉搏数。本文介绍一种基于STC89C52单片机的电子脉搏计,通过测量腕部动脉的压力,把压力转变为电信号,送入单片机,可以在3秒钟之内精确测量出每分钟脉搏数,测量结果用三位LED数码管显示,并且脉搏波形通过串口送入PC机,实时显示脉搏波形。 脉搏计是最常用的医疗检查设备之一,实时准确的脉搏测量在日常生活、患者监控、临床治疗及体育运动等方面都有着广泛的应用。脉搏测量包括瞬时脉搏测量和平均脉搏测量。瞬时脉搏可以反映心率的快慢,同时能反映心率是否匀齐;平均脉搏测量虽然只能反映心率的快慢,但记录方便。本文设计的电子脉搏计可以把这两个参数在测量时都记录下来并且显示,瞬时测量结果通过PC机实时显示,平均脉搏测量结果通过LED七段数码管显示。 关键词:STC89C52 单片机脉搏串口 Based on SCM electronic pulse plan design

People in daily life or medicine is often measured by the pulse frequency and strength to detect the health status of body by hand, and ordinary people by the arteries in the wrist, according to a pulse count, thus not only beat measuring time long and accuracy is not high, in order to save the measuring time, generally does not use the long time measurement, but a few seconds pulse count. Measured This paper introduces a STC89C52 MCU based on the electronic pulse plan, by measuring the pressure, the wrist artery pressure into electrical signals, into a single-chip microcomputer, can accurate measurement in three seconds per minute, a pulse with measurement results number three LED digital display, and pulse tube through a serial port into PCS, real-time display pulse waveform. Pulse meter is one of the most commonly used in the medical examination device, real-time accurate measurement of the pulse of daily life, patient monitoring, clinical treatment and other aspects of sports have a wide range of applications. Pulse measurements include transient pulse measurement and the average pulse measurement. Instantaneous heart rate may reflect the speed of the pulse, while heart rate can reflect whether the uniform homogeneous; average heart rate, pulse measurement can only reflect the speed though, but the record of convenience. This design of elec- tronic pulse meter to measure these two parameters are recorded and displayed the time, instantaneous measurements in real time through the PC, shows that the average pulse measurements by seven segment LED digital display. Keywords: STC89C52; microcontroller; pulse; the serial interface

基于单片机的脉搏心率测量仪的开发与设计毕业论文

毕业设计(论文) 题目:基于单片机的脉搏测量仪的研究与设计

摘要 在传统的医疗检测中,脉象检测一直都起着非常重要的作用,人体的脉象包含着大量的人体的生理和病理方面的信息。脉诊一直是医生诊断疾病的重要手段之一,但受人为因素的影响很大。经医学观察研究表明,人体手指末端含有丰富的毛细血管和小动脉,这些动脉和人体其他地方的动脉一样,含有丰富的生理信息。由于光电脉搏检测技术具有很高的绝缘性,且抗电磁等干扰能力强,可以对人体进行无损伤检测。本文设计通过光电法对人体指尖的脉搏进行测量,并将测量信息送入单片机进行处理,最后通过数码管将测量结果显示出来。将对脉搏信号的检测模块,脉搏信息的处理模块,单片机,数码管显示模块等电路集成在一块电路板上,形成一个简易的脉搏测量仪。这种测量仪具有精确度高,体积小,价格便宜,易于操作等特点,特别适合于个人使用和家庭使用,给我们的生活带来极大方便,让我们第一时间对自己的身体状况有进一步的了解。 关键词:脉搏;光电传感器;单片机;数码管

Abstract In the traditional medical testing,the pulse condition detection has been playing a very important role.The pulse condition of the human body contains a large number of physiology information and pathology information,the pulse examination has been being one of the important means for the doctor to diagnosis the illness.But the man-made factors influence it very much,the medical observation research shows.The end of the finger contains rich capillaries and small arteries.These arteries and the other arteries of the body hold rich physiologic information.The Photoelectric pulse detecting technology can test the body without damage owing to its high insulation and strong ability to resist the electromagnetic interference.This design in the text can survey the pulse of the finger tip through photoelectric method and transport the information to the microcontroller to do with it.At last,the result is showed by the digital tube.When the electric circuit such as the detection module of the pulse signal,the processing module of the pulse information,SCM,digital tube are integrated in the board of electric circuit,it formed an simple pulse measuring instrument,this instrument has high accuracy,small, cheap,and easy to operate.It is especially suitable for personal use and family use.It brings great convenience to our life,so we can have a further understanding of our body condition. Key words: Pulse;Photoelectric transducer;SCM;Digital tube

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

便携式脉搏测试仪毕业设计(改)

重申明 本人呈交的毕业实习报告(设计),是在导师的指导下,独立进行实习和研究工作所取得的成果,所有数据、图片资料真实可靠。尽我所知,除文中已经注明引用的容外,本毕业实习报告(设计)的成果不包含他人享有著作权的容。对本毕业实习报告(设计)所涉及的实习和研究工作做出贡献的其他个人和集体,均已在文中以明确的方式标明。本毕业实习报告(设计)的知识产权归属于作者与培养单位。 学生签名 日期2012.12

摘要 本作品根据题目要求指示,以精准脉搏测量电路为核心,以TI公司提供的LaunchPad MSP430(G2553)单片机开发板为核心控制。应用单片机部集成的10位8通道多路ADC做模数转换,与外部电路构成测试系统。本作品根据题目要求使用+3.6V电源供电,测试仪在测量状态时,能在光电探头达到合适测试部位时自动启动测量,1分钟完成测量后自动待机,直至撤离探头并再次达到测试部位时自动启动下一次测量。同时具有脉搏上下门限警报、监护状态和回放状态,并可在128×64点阵屏幕上动态显示光电脉搏信号波形等功能。 本题目来自“2012年全国大学生电子设计大赛TI杯赛区” 关键词:自动测量;上下限报警;回放;监测;光电探头

目录 1 选题意义 (1) 2 系统方案 2.1方案比较 (2) 2.2系统描述 (2) 2.2.1芯片基本工作原理 (3) 2.2.2整体描述 (3) 3 脉搏测量原理 (4) 4 电路分析 4.1 CPU控制电路 (5) 4.2信号采集和信号处理电路 (6) 4.3键盘电路 (7) 4.4显示电路 (8) 4.5报警电路 (8) 5 程序分析 5.1 程序总体流程图 (9) 5.2 核心程序流程图 (10) 5.3 开发环境介绍 (10) 5.4脉搏计数算法 (11) 5.5 程序节选 (12) 6 系统测试 6.1测试结果及分析 (14) 6.2作品展示 (15) 结论 (16) 参考文献 (17)

数电课设报告电子脉搏计设计说明

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11)

第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门再放大方面的应用,选择了方案一。

(最新版)基于单片机的脉搏测量仪的设计开题报告毕业论文

本科毕业设计 ( 论文) 开题报告 题目: 基于单片机的脉搏测量仪 的设计 课 题 类 型:设计丁实验研究□论文口 学 生 姓 名: 学 号: 专 业 班 级: 学 院: 信息工程学院 指 导 教 师: 开 题 时 间 年月日 开题报告内容与要求 一、毕业设计(论文)内容及研究意义(价值) 随着科技发展的不断提高, 生命科学和信息科学的结合越来越紧密, 出现了各种新 颖 的脉搏测量仪器,特别是电子脉搏仪的出现,使脉搏测量变得非常方便。 脉诊在我 国已具有

2600 多年临床实践,是我国传统中医的精髓,但祖国传统医学采用“望、闻、问、切”的手段进行病情诊断,受人为的影响因素较大,测量精度不高。科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确的测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。 脉搏测量仪的设计,必须是通过采集人体脉搏变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏变化,最后要得出每分钟的脉搏次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏次数。在硬件设计中一般的物理信号就是电压变化。本系统的组成包括传感器、信号处理、单片机电路、显示电路、键盘输入等部分。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 随着科学技术的发展,脉搏测量技术也越来越先进,对脉搏的测量精度也越来越高,国内外先后研制了不同类型的脉搏测量仪,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。而其中关键是对脉搏传感器的研究。起初用于体育测量的脉搏测试集中在对接触式传感器的研究,利用此类传感器所研制的指脉、耳脉等测量仪各有其优缺点。指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降:耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。过去在医院临床监护和日常中老年保健中出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量,但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。 脉搏测量仪的发展主要向以下几个趋势发展: (1)自动测量脉搏并且对所得到的脉搏进行自动分析。目前很多脉搏测量仪都具有检测

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

基于51单片机的心率计设计选题的目的和意义

选题的目的和意义: 在中医四诊(望、闻、问、切)中,脉诊具有非常重要的位置。它是我国传统医学中最具特色的一项诊断方法,历史悠久,内容丰富,是中医“整体观念”、“辨证论证”基本精神的体现与应用。医院的护士每天都要给住院的病人把脉记录病人每分钟心跳数,方法是用听诊器放在胸口处,根据心脏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒内的心跳数,再把结果乘以6得到每分钟的心跳数,这样做还是比较费时,而且精度也不高。为了更方便以及更精确地反应出心率地正常与否,人类发明出了脉搏计。大大的翻遍了人类对于心脏类疾病的预防和治疗。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 国内外对本课题涉及问题的研究现状: 脉搏测量仪日常生活中已经得到了非常广泛的应用。早在1860年Vierordt 创建了第一台杠杆式脉搏描记仪,五十年代末,有人研制出以酒石酸钾钠压电晶片为换能器的脉搏描在我们的记器,将中医寸、关、尺的脉搏,通过换能器转换为电能加以放大描记,初步确定了中医弦脉、滑脉、平脉等的特征图形,1959年,进行高血压弦脉及其机制的研究。 六十年代初研制的“20型三线脉象仪”,首次实现了寸、关、尺三部切脉国内20世纪50年代初朱颜将脉搏仪引用到中医脉诊的客观化研究方面。此后随着机械及电子技术的发展,国内外在研制中医脉象仪方面进展很快,尤其是70年代中期,国内天津、上海、江西等地相继成立了跨学科的脉象研究协作组,多学科共同合作促使中医脉象研究工作进入了一个新的境界。脉象探头式样很多,有单部、三部、单点、多点、刚性接触式、软性接触式、气压式、硅杯式、液态汞、液态水等多种形式。目前脉搏测量仪在多个领域被广泛应用,除了应用于医学领域,如无创心血管功能检测、妊高症检测、中医脉象、脉率检测等等,商业应用也不断拓展,如运动、健身器材中的心率测试都用到了技术先进的脉搏测量仪。压力的任意调节和客观定量测定,以及与指感基本一致的压力脉象波型的描记。该仪器在临床试用取得大量的实验数据。之后,全国各地陆续研制出各种不同换能器(如半导体硅应变片换能器,电感式压力换能器,电阻抗式换能器)的脉象仪,不断提高换能器的灵敏度,精确度,并改进探头的造型。近年来有些单位还将声像图仪、频谱分析应用于中医脉象研究。七十年代初,中国医学科学院分院利用电子学的新进展,研制出性能较好的脉搏图机,所描记的脉搏图能反映出十余种脉象。为用脉搏图形识别这些脉搏打下了初步基础。七十年代末北京中医学院采用测量脉搏图参数,进行系统分析,来描述弦、滑、细、平等脉象的脉搏图特征,从定性推进到定量。八十年代初魏韧提出多因素脉图识别法,将切脉时医师的应指感觉分解为八种成分,其不同组合构成各种脉象。还研制出MTY-A型脉图仪,在传统的波形图外尚可描记各种取脉压力下的脉搏幅度趋势图及脉管粗细图,认为可综合上述八种指感成分,因而能反映出所有各种脉象。几乎世界上所有的民族都用过"摸脉"作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

心率计毕业设计论文

心率计毕业设计论文 目录 摘要.......................................................... I Abstract..................................................... I I 1绪论 (1) 1.1课题的来源 (1) 1.2课题设计的目的及功能实现的方法 (1) 1.3论文结构 (2) 2总体方案设计 (4) 2.1心率计原理 (4) 2.2总体电路框图设计 (4) 3元器件选择及其功能介绍 (6) 3.1单片机AT89S52 (6) 3.2传感器OPT101 (7) 3.2.1OPT101的技术性能 (7) 3.2.2OPT101的典型应用 (8) 3.3集成运算放大器OP07 (10) 3.4低功率运算放大器LM324N (11) 3.5A/D转换器ADC0809 (12) 4系统硬件结构设计与仿真 (14) 4.1单片机最小系统 (14) 4.2信号采集电路 (15) 4.3信号放大电路与仿真 (16) 4.3.1信号放大电路与仿真 (16) 4.3.2电源模块设计 (17) 4.4信号比较电路 (18)

4.5A/D转换电路 (19) 4.6显示电路 (19) 4.7系统总体设计原理图 (20) 5系统软件设计 (22) 5.1测量计算原理 (22) 5.2主程序流程图 (22) 5.3中断程序流程图 (23) 5.4定时器T0和T1的中断服务程序 (24) 6系统硬件调试 (25) 6.1系统各部分电路模块测试与仿真 (25) 6.1.1一级放大电路 (25) 6.1.2比较电路 (27) 6.2试验与焊接阶段 (28) 6.2.1试验阶段 (28) 6.2.2焊接与完成阶段 (29) 6.3整机调试 (31) 6.3.1心跳的测量过程 (31) 6.3.2几种主要系统干扰与影响 (31) 6.4试验结果分析 (32) 7总结和展望 (33) 致谢 (35) 参考文献 (36) 附录一: (38)

基于STM32的脉搏测量仪设计

安徽机电职业技术学院毕业论文基于STM32的脉搏测量仪设计 系别电气工程系 专业xxxxxxxxxxxxx 班级xxxxxxxxxxx 姓名xxxxxxxxx 学号xxxxxxxxxxx 指导教师xxxxxx 2014 ~ 2015 学年第一学期

安徽机电职业技术学院2015届毕业生 毕业论文成绩评定单 姓名xxx 专业xx 班级xxxx 课题基于STM32的脉搏测量仪设计 评分标准分值得分 指导教师评语(40分)设计方案合理、实用、经济、原理分析正确、严密,内容完整。 10 计算方法正确,计算结果准确,程序设计正确简洁,工艺合理。 5 元器件(材料)选择合理,明细表规范。 5 图面清晰完整,布局、线条粗细合理,符合国家标准。 5 文字叙述简明扼要,书写规范。 5 按时独立完成,同学相互关心,遵守制度,认真负责。 10 合计得分:指导教师签名:日期:年月日 评阅教师评分(30分)内容充实,有阶段性成果,有应用价值。 10 图纸、论文如实反映设计成果,有理论分析,又有实践过程。 10 语句通顺,思路清晰,符合逻辑。 5 图标清晰,文字工整,字符和曲线标准化。 5 合计得分:评阅教师签名:日期:年月日 答辩评分(30分)自述条理明确,重点突出。 5 基本概念清楚,回答问题正确。 15 专业知识运用灵活,解决问题技术措施合理。 10 合计得分:答辩组长签名:日期:年月日 总得分:等级系主任签名:日期:年月日

指导教师评语 等级签名日期

题目基于STM32的脉搏测量仪设计 学生姓名x 学号x 指导教师xx 系部电气工程 系 班级x 顺序号第 1次 学生完成毕业论文(设计)内容情况第一周: 指导老师布置毕业设计课题,要求学生查阅有关毕业设计的相关资料; 学生签名: 时间:年月日 教师指导 内容记录 教师签名: 时间:年月日

基于51单片机的脉搏心率测量仪-参考论文

基于51单片机的脉搏测量仪 摘要:脉搏心率测量仪在我们的日常生活中已经得到了非常广泛的应用。为了提高脉搏心率测量仪的简便性和精确度,本课题设计了一种基于51单片机的脉搏心率测量仪。系统以STC89C51单片机为核心,以红外反射式传感器ST188为检测原件,并利用单片机系统部定时器来计算时间,由红外反射式传感器 ST188感应产生脉冲,单片机通过对脉冲累加得到脉搏心率跳动次数,时间由定时器定时而得。系统运行中能显示脉搏心率次数和时间,系统停止运行时,能够显示总的脉搏心率次数和时间。经测试,系统工作正常,达到设计要求。 关键词:脉搏心率测量仪;STC89C51单片机;红外反射式传感器 一脉搏心率测量仪系统结构 脉搏心率测量仪的设计,必须是通过采集人体脉搏心率变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏心率变化,最后要得出每分钟的脉搏心率次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏心率次数。在硬件设计中一般的物理信号就是电压变化。 1.1 光电脉搏心率测量仪的结构 光电脉搏心率测量仪是利用光电传感器作为变换原件,把采集到的用于检测脉搏心率跳动的红外光转换成电信号,用电子仪表进行测量和显示的装置。本系统的组成包括光电传感器、信号处理、单片机电路、数码管显示电路、电源等部

分。 1.光电传感器 即将非电量(红外光)转换成电量的转换元件,它由红外发射二极管和红外接收三极管组成,它可以将接收到的红外光按一定的函数关系(通常是线性关系)转换成便于测量的物理量(如电压、电流或频率等)输出。 2.信号处理 即处理光电传感器采集到的低频信号的模拟电路(包括放大、滤波、整形等)。 3. 单片机电路 即利用单片机自身的定时中断计数功能对输入的脉冲电平进行运算得出心率(包括STC89C51、外部晶振、外部中断等)。 4.数码管显示电路 即把单片机计算得出的结果用四位一体数码管显示出来。 5. 电源 即向光电传感器、信号处理、单片机提供的电源,采用直流5V电源供电。 1.2工作原理 本设计采用单片机STC89C51为控制核心,实现脉搏心率测量仪的基本测量功能。脉搏心率测量仪硬件框图如下图2.1 所示:

相关文档
最新文档