模拟式信号发生器的设计

模拟式信号发生器的设计
模拟式信号发生器的设计

模拟式信号发生器的设计

1、基本电路设计要求

(1) 发生波形包括正弦波、三角波、锯齿波和方波

(2) 幅度要求方波、正弦波为±5V ;三角波、锯齿波为±2.5V 。 (3) 频率调节范围100Hz ~1MHz 。 2、电路的设计

本系统以ICL8038集成块为核心器件,制作一种函数信号发生器。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz ~300KHz 的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。

ICL8038的引脚如图1。第1、12引脚为正弦波失真度调整,调整接在该引脚上的输入电压可调整输出正弦波的失真度;第2引脚为正弦波的输出引脚;第3引脚为三角波的输出引脚;第4、5引脚为占空比及频率调整引脚;第6引脚为+V cc 输入引脚;第7引脚为偏置电压输出引脚;第8引脚为偏置电压输入引脚;第9引脚为矩形波输出引脚;第10

引脚为外接充电电容引脚;第11引脚为-V EE 或地引脚;第13、14引脚为未用引脚。

ICL8038的内部结构图如图2所示。

图2 ICL8038内部框图

正弦波失真度调整

正弦波输出三角波输出占空比及

+V 调频偏置电压

频率调整

弦波失真度

调整

-V 接电容形波输出频电压输入端

EE 或地

.

.

.

.

图1 ICL8038引脚图

其中,振荡电容C由外部接入,它是由内部两个恒流源来完成充电放电过程。恒流源2的工作状态是由恒流源1对电容器C连续充电,增加电容电压,从而改变比较器的输入电平,比较器的状态改变,带动触发器翻转来连续控制的。当触发器的状态使恒流源2处于关闭状态,电容电压达到比较器1输入电压规定值的2/3倍时,比较器1状态改变,使触发器工作状态发生翻转,将模拟开关K由B点接到A点。由于恒流源2的工作电流值为2I,是恒流源1的2倍,电容器处于放电状态,在单位时间内电容器端电压将线性下降,当电容电压下降到比较器2的输入电压规定值的1/3倍时,比较器2状态改变,使触发器又翻转回到原来的状态,这样周期性的循环,完成振荡过程。

在以上基本电路中很容易获得3种函数信号,假如电容器在充电过程和在放电过程的时间常数相等,而且在电容器充放电时,电容电压就是三角波函数,三角波信号由此获得。由于触发器的工作状态变化时间也是由电容电压的充放电过程决定的,所以,触发器的状态翻转,就能产生方波函数信号,在芯片内部,这两种函数信号经缓冲器功率放大,并从管脚3和管脚9输出。

适当选择外部的电阻R A和R B和C可以满足方波函数等信号在频率、占空比调节的全部范围。因此,对两个恒流源在I和2I电流不对称的情况下,可以循环调节,从最小到最大,任意选择调整,所以,只要调节电容器充放电时间不相等,就可获得锯齿波等函数信号。

频率范围为10~100kHz的函数信号发生器的具体电路如图3所示。

图3 信号发生电路

调节W1 和W2 通过LM353 控制ICL8038 起振。当开关K断开时调W3,以改变方波的占空比,可使方波的占空比为50%。调节W5,使正弦波线性度调节端1 为3Vs/5(Vs=Vcc+Vss),调节W6 使另一个正弦波线性度调节端口为2Vs/5 就可得理想的正弦波信号。W4 用作低频端线性校正。W4,W5 和W6 反复调整才能得到一个好的正弦波。端口1输出的是的矩形波,改变外接电阻的阻值则可调节方波的占空比。端口2输出的是正弦波。端口3输出的是三角波,当W7取最大值时,可是电压幅度减半为±2.5V,调节W7的大小使电压幅度在±5V变换,改变外接电阻的阻值可调节振荡电容充放电时间,使三角波变为锯齿波输出。

图3输出的信号的频率调节范围为10Hz~100KHz,为了达到要求的频率范围100Hz~1MHz,波形输出的端口还必须加锁相倍频电路,把频率放大10倍才能符合要求。锁相倍频器采用NE564,f out=Nf in,N=10。电路如图4所示。

图4 NE564锁相倍频器

信号发生器的设计方案综述【文献综述】

文献综述 电子信息工程 信号发生器的设计方案综述 摘要:本文首先介绍了信号发生器的背景与应用,然后提出了基于直接数字频率合成(DDS)技术的信号发生器实现,概述了DDS的概念及基本结构,介绍了基于FPGA、单片机及专用芯片的信号发生器实现方案,最后对这些方案给出笔者的评价。 关键词:DSP BUILDER;数字移相信号发生器;DDS 1引言 在当今社会,信号发生器作为电子领域中的最基本、最普通、最广泛的仪器之一,是工科类电子工程师进行信号仿真实验的最佳工具。而信号发生器是指能产生测试信号的仪器,它主要用于产生被测电路所需特定参数的电测试信号。 本文设计的数字移相信号发生器通过移相技术在数控、数字信号处理机、工业控翻、自动控制等各个领域得以应用[1]。 2 DDS概述 直接数字频率合成DDS(Direct Digital Frequency Synthesizer)是一种采用数字化技术、通过控制相位的变化速度、直接产生各种不同频率信号的新型频率合成技术,标志着第三代频率合成技术的出现。它是把一系列数字量形式的信号通过数模转换器(DAC)转换成模拟量形式的信号[2]。目前使用的最广的一种DDS方式是利用高速存储器作查找表。然后通过高速DAC输出已经用数字形式存入的正弦波。具有频率切换时间短,频率分辨率高,频率稳定度高。输出信号的频率和相位可快速程控交换、输出相位连续、容易实现频率、相位和幅度的数控调制等优点[3]。 图1 DDS基本结构 DDS是以数控的方式产生频率、相位和幅度可以控制的正弦波,如图1所示为基本DDS结构,由

相位累加器、相位调制器、正弦ROM查找表、D/A构成[4]。相位累加器是整个DDS的核心,它由一个累加器和一个N位相位寄存器组成,每来一个时钟脉冲,相位寄存器以相位步长M增加,相位寄存器的输出与相位控制字相加,完成相位累加运算,其结果作为正弦查找表的地址,正弦ROM查找表内部存有一个完整周期正弦波数字幅度信息,每个查找表地址对应正弦波中o。~360。范围的一个相位点,查找表把输入的地址信息映射成正弦波幅度信号,通过D/A输出,经低通滤波器后,即可得一纯净的正弦波。 而所谓的移相,就是指两路同频的信号,以其中的一路为参考,另一路相对于该参考作超前或滞后的移动,即称为相位的移动。两路信号的相位不同,便存在相位差,简称相差[5]。两路信号的相位差用相位字来控制,只要相位字不同,就可得到两路不同相位的移相信号。 3 基于DDS的数字移相系统设计 3.1基于FPGA的实现 传统使用FPGA的数字信号处理系统的设计,首先需要用仿真软件进行建模仿真,得到预想中的仿真结果后。再根据仿真过程和结果,使用硬件描述语言创建硬件工程,最后完成硬件仿真。整个过程漫长而繁杂,尤其困难的是仿真过程不够直观.一旦遇到问题无法及时准确地确定问题所在。而DSP Builder作为一个面向DSP开发的系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势[5]。DSP Builder依赖于MathWorks 公司的数学分析工具Matlab/ Simulink ,DSP Builder允许设计者在Matlab 中完成算法设计,在Simulink 软件中完成系统集成,通过SignalCompiler模块生成Q uart usII 软件中可以使用的硬件描述语言(V HDL) 文件,它提供了QuartusII软件和MA TLAB/ Simulink工具之间的接口,通过DSP Builder 、SOPC Builder 、Quart usII 软件构筑的一套从系统算法分析到FPGA 芯片实现的完整设计平台[6]。 3.2基于单片机的实现 基于单片机的信号发生器其核心内容是单片机的主程序,主程序对整个设计起着总控作用[7]。设计方案如图2所示.系统在程序控制下,先读取P3口决定波形信号类别,然后由Po口输出数据,经D/A转换后放大、滤波输出.波形频率在线调整是通过读取P2口上的拨码开关的编码,并根据该编码产生的数字量,在PO口输出一个数据后立即产生一个对应时长的延时时间来实现.幅度调整是通过接在DAC上的滑动变阻器来改变D/A转换的参考电压来实现[8]。

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

基于Labview的虚拟信号发生器的设计(毕设)

基于Labview的虚拟信号发生器的设计(毕设)

课题名称基于LabVIEW8.0的虚拟函数信号发生器的设 计 指导教师姓名肖俊生 学生姓名刘增辉 专业自动化 学号 0967106205

基于LabVIEW的虚拟函数信号发生器的设计 摘要 本文实现了基于LabVIEW8.5的虚拟正弦波、方波、三角波、锯齿波以及任意信号波形的信号发生。操作人员可以根据需要,改变波形的频率、幅值、相位、偏移量等参数,并可保存波形的分析参数到指定文件。本论文首先简介了虚拟函数信号发生器的开发平台,及虚拟信号发生器的设计思路,并且给出了基于LabVIEW的虚拟信号发生器的前面板和程序设计流程图,讲述了功能模块的设计步骤,提供了虚拟发生器的前面板。本仪器系统操作简便,设计灵活,具有很强的适应性。 【关键词】:虚拟仪器,LabVIEW,信号发生器 第一章虚拟仪器(Virtual Instrument) 1.1 虚拟仪器概念 虚拟仪器的起源可追溯到20世纪70年代。“虚拟”的含义主要是强调了软件在这类仪器中的作用,体现了虚拟仪器与主要通过硬件实现各种功能的传统仪器的不同。由于虚拟仪器结构形式的多样性和适用领域的广泛性,目前对于虚拟仪器的概念还没有统一的定义。美国国家仪器公司(National Instruments Corporation,NI)认为,虚拟仪器是由计算机硬件资源、模块化仪器硬件和用于数据分析、过程通信及图形用户界面的软件组成的测控系统,是一种计算机操纵的模块化仪器系统。 虚拟仪器主要由通用的计算机资源(例如微处理器、内存、消声器)、应用软件和仪器硬件(例如A/D\、D/A、数字I/O、定时器、信号调理等)等构成。使用者利用应用软件将计算机资源和仪器硬件结合起来,通过友好的图形界面来操作计算机,完成对测试信号的采集、分析、判断、显示和数据处理等功能。虚拟仪器中的硬件主要用于解决信号的调理以及输入、输出问题。而软件主要

基于AD9850的信号发生器设计_毕业设计

基于AD9850的信号发生器设计 摘要 介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机 AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图和调试通过的源程序以供参考。直接数字合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通讯等领域有着广泛的应用前景。系统采用AD9850为频率合成器,以单片机为进程控制和任务调度的核心,设计了一个信号发生器。实现了输出频率在10Hz~1MHz范围可调,输出信号频率稳定度优于10-3的正弦波、方波和三角波信号。正弦波信号的电压峰峰值V opp能在0~5V范围内步进调节,步进间隔达0.1v,所有输出信号无明显失真,且带负载能力强。该电路设计方案正确可行,频率容易控制,操作简单灵活,且具有广阔的应用前景。 关键词:信号发生器;直接数字频率合成;AD9850芯片;AT89S52单片机

Abstract On the basis of direct digital synthesis(DDS)principle, a signal generator was designed , using AT89S52 single chip machine as control device and adopting AD9850 type DDS device .Hardware design parameters were given .The system can output sine wave ,square wave with wide frequency stability and good waveform .The signal generator has stronger market competitiveness , with wide development prospect ,in frequency modulation technology and radio communication technology fields. Key words: signal generator ;direct digital synthsis;AD9850;AT89S52

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

虚拟信号发生器(labview)

虚拟信号发生器(labview)

4 系统总体的设计及实现 4.1 系统框架和设计流程 4.1.1程序框图的设计流程 用LABVIEW设计虚拟信号发生器的主要步骤是在设计程序框图上,图4.1是设计程序框图的主要流程。 图4.1 程序框图的设计流程 4.1.2系统设计 设计信号发生器的主要任务是设计程序框图和前面板,在设计这两部分中若没有出现数据类型不匹配、控件的属性设置等问题,再跟硬件连接,看是否可以产生各种信号,并且能被数字示波器采集到,并在硬件允许的范围内体现比现有信号发生器更宽泛的信号范围。

4.2 系统具体应用程序 按系统的总体要求,可以分为两部分来设计,一个是基本波形的系统设计,如正弦波,方波,三角波和锯齿波,另一个是基于数字脉冲的PWM波设计。 4.2.1程序框图的具体设计步骤 利用LABVIEW设计一个系统,其中的主要部分是程序框图的设计,以下就是程序框图设计的基本过程。 1)创建虚拟通道,可以根据输出的波形的类型来设置物理通道的性质,并可以设置波形的一些基本参数。图4.2是输出基本波形的通道,图4.3是输出PWM波的通道。 图4.2 基本波形虚拟通道 图4.3 PWM波虚拟通道 2)设置基本波形的缓冲区和采样时钟,缓冲区中则可以对信号的频率、幅值、采样值、波形类型等进行设置,采样时钟设为模拟。本设计中的PWM波是基于计数器产生的,采样时钟则是设置成计数器(隐式)。时钟采样方式均设置为连续采样。图4.4是基本信号的时钟,图4.5则是PWM波的时钟。

图4.4 基本波形信号时钟 图4.5 PWM波信号时钟 3)基本信号发生器需要先设置模拟信号的通道数及采样数,然后运行,PWM 波则是则是在设置好波形参数和时钟后可以直接运行。 图4.6 基本信号波形运行

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

虚拟信号发生器的设计

虚拟信号发生器的设计 (巢湖学院物理与电子科学系王乐07037022) 摘要:虚拟仪器是由一些必要的硬件获取调理信号,并以通用计算机为平台,实现不同测量软件对采集获得信号进行分析处理及显示。它改变了传统电子测量仪器的概念和模式,用户完全可以自己定义仪器的功能和参数,即“软件既是仪器”。计算机技术与网络技术的飞速发展,使得虚拟仪器已经成为现代电子测量仪器发展的趋势。 本文介绍了一种以LabVIEW为开发平台,能够产生正弦波、三角波、方波、锯齿波和任意波测试信号发生器,其平率、幅值、相位、电压偏置等参数可以设置,不但输出波形参数可调、而且可同步显示。本系统通过采用TCP/IP技术来实现远程数据传输功能,当两台计算机设置好端口后,就可以进行数据传输。 与传统仪器相比,本系统具有高效、开放、使用灵活、功能强大、性价比高、可操作性好等明显优点,可用于医疗,工程等精密仪器的测试,具有较强的实用性和开发价值。 关键词:虚拟仪器,Labview,函数信号发生器,网络通信。 The design of virtual signal generator and remotereslization Abstract:The virtual instrument which conditioning signals isgained by some essential hardware.It takes the general-purposecomputer as a platform and the signal is realized through thedifferent measurement software,such as signal’s analyze,processand display etc.The concept and mode of traditional measuringinstruments are changed,the parameters and functions can betransformed by the user,namely,"software is the instrument".Withthe rapid development of computer and network technology,thevirtual instrument has become a developing trend of modernelectronic measuring instruments. In this paper development platform LabVIEW is introduced firstly,then the test signals of Sine,triangle,square sawtooth andarbitrary waveform is described in the virtual signal generator.The functions of signal generator are set,such as frequency,amplitude,phase,voltage bias etc.Not only output parameters canbe adjusted but also the corresponding wave is acquiredsimultaneously in this system. The function of remote datatransmission is performed by TCP/IP technology.Data is transportedwhen the port parameters between two computers areset. Compared with traditional machines,advantages of the virtualinstrument are showed in efficiency,opening,easy using,strongfunction,cost-effective and operation etc.It can be used fortesting of medical and engineering precision instruments. Key words:Virtual instrument,LabVIEW,Function generator,NetworkCommunication 第1章绪论 在有关电参量的测量中,我们需要用到信号源,而信号发生器则为我们提供

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

双通道虚拟信号发生器设计

虚拟仪器课程设计报告 题目:双通道虚拟信号发生器设计 双通道虚拟信号发生器设计 一、课程设计说明: 对于任何测试来说,信号的生成非常重要。例如,当现实世界中的真正信号很难得到时,可以用仿真信号对其进行模拟。常用的测试信号包括:正弦波、三角波、方波、锯齿波、各种噪声信号以及由多种正弦波合成的多频信号。信号发生器在测量中应用非常广泛,它可以产生不同频率的正弦信号、方波、三角波、锯齿波等,

其输出的幅值和直流偏置也可以根据需要进行调节。信号发生器种类繁多,专用信号发生器是专门为某种特殊的测量而研制的,如电视信号发生器、编码脉冲信号发生器等;通用信号发生器按输出波形可分为正弦信号发生器、脉冲信号发生器、函数发生器和噪声发生器等,其中正弦信号发生器最具普遍性和广泛性。 LabVIEW虚拟仪器技术软件开发平台提供了丰富的信号产生函数。通过编写适当的LabVIEW程序,设计与实现一个双通道虚拟信号发生器。 本课题基于虚拟仪器LabVIEW图形化软件开发平台,设计一种双通道虚拟信号发生器,要求所设计的双通道虚拟信号发生器可以产生和显示正弦信号、三角波、方波、锯齿波、公式波及是否加噪声信号。具体指标与要求如下: (一) 正弦信号、三角波、方波、锯齿波信号 1、频率及幅值可调; 2、偏置量及方波的占空比可调; 3、可调整幅值、相位、频率;调整后无须重新启动(但是有组合按键); 4、在产生的信号中可以加入高斯白噪声。 5、可以设置通道选项,可以选一个通道,也可以选两通道。 6、公式波信号:当选择产生公式波信号时,可以通过信号发生器前面板输入 相应的公式,从而得到相应的波形信号。 7、通道1、通道2可以分别产生正弦信号、三角波、方波、锯齿波或公式波信 号。通过设置一个“退出”按钮来退出程序。两个通道产生的信号必须在 同一个示波器(Graph)中显示波形,但彼此互不干扰。每个通道可以对波形 进行单独控制,分别可以选择产生输出正弦信号、方波信号、三角波信号、锯齿波信号或公式波信号。并可以对采样信息,频率,幅值以及相位参数 进行调节控制,方波还可以控制占空比。 8、采样频率和采样数课设置。 9、波形颜色可以控制,可以显示出:红色,黄色,蓝色等三种颜色。这里采 用了事件结构来编写,在下面会介绍的。 二、课程设计目的 通过本次课程设计使学生具备: (1)了解现代仪器科学与技术的发展前沿;(2)学习和掌握虚拟仪器系统组成和工作原理;(3)掌握虚拟仪器LabVIEW图形化软件设计方法与调试技巧;(4)培养学生查阅资料的能力和运用知识能力。 三、课程设计要求

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

基于labview的虚拟信号发生器的设计(2010-1...

基于labview的虚拟信号发生器的设计

第1章虚拟信号发生器的结构与组成 1.1虚拟函数信号发生器的前面板 本虚拟信号发生器主要由一块PCI总线的多功能数据采集卡和相应的软件组成。将它们安装在一台运行Windowsxp的PC机上。即构成一台功能强大的函数信号发生器。本虚拟信号发生器的设计参考了SG 1645功率函数信号发生器。 本函数信号发生器的前面板主要由以下几个部分构成:仪器控制按钮,输出频率控制窗口(包括频率显示单位),频率倍成控制,波形选择,频率微调按钮,直流偏置,方波占空比节,输出波形幅度控制按钮。频率微调范围:O.1—1 Hz;直流偏置:一10—10V;方波占空比:0—100%;输出波形幅度:0—10V。此外还增加了许多修饰性的元件如面板上的压控输入、记数输入、同步输出、电压输出等。使用这些修饰性的元件的目的是为了增加仪器的美观性,并尽量与真实仪器的使用界面相一致。 图1-1 函数信号发生器的前面板 1.2虚拟函数信号发生器的硬件构成 本虚拟信号发生器的输入输出的硬件部分为一数据采集卡和具有一定配置要求的PC机,数据的输入输出靠对数据采集卡输出输入口的定义来实现。本设计采用的PCI一1200数据采集卡是一块性价比较好的产品,具备数/模转换的功能。能将产生的数字信号转换成模拟信号且数模转换精度高,而且还具备滤波功能,从而使输出波形光滑。它支持单极和双极性模拟信号输入,信号输入范围分别为一5一+5V和0—10V。提供l6路单端,8路差动模拟输入通道、2路独立的DA输出通道、24线的TTL型数字Ⅳ0、3个l6位的定时计数器等多种功能。硬件接口部分用于数据输入或输出时的通道设置。硬件接口部分程序框图如图1-2所示:

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

虚拟信号发生器数字信号处理课设

燕山大学 课程设计说明书 题目:虚拟信号发生器的设计 学院(系):电气工程学院 年级专业:自动化仪表 学号: 100103020002 学生姓名:王思琪 指导教师:谢平杜义浩 教师职称:教授讲师

课程名称:“单片机原理及应用——数字信号处理”课程设计基层教学单位:自动化仪表系指导教师:张淑清谢平 说明:1、此表一式四份,系、指导教师、学生各一份,报送院教务科一份。 2、学生那份任务书要求装订到课程设计报告前面。 电气工程学院教务科

目录(信号处理要求) 第一章摘要 (3) 第二章总体设计方案 (4) 第三章 GUIDE预备理论 (5) 第四章信号发生器基本原理 (6) 4.1含变量的波形函数的生成 (6) 4.2 时域向频域的转换 (7) 第五章 GUIDE源程序的设计 (9) 第六章程序显示结果与调试 (14) 第七章心得及总结 (15) 参考文献 (16) 附录 (16)

第一章摘要 信号发生器是指产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 在有些实验测量中,我们需要用到信号发生器,传统的信号发生器其功能完全靠硬件实现,功能单一,而且费用较高,一个传统实验室很难拥有多类信号发生器,然而基于虚拟仪器技术的信号发生器则能够实现这一要求。此报告论述了一个基于虚拟仪器技术的任意波形发生器模块的实现。

相关文档
最新文档