完整版数字电路模拟试题1

完整版数字电路模拟试题1
完整版数字电路模拟试题1

数字电子技术模拟试题一

)

分分,共20一、填空题(每空1____________。1 、逻辑函数的化简

方法有_________和)。=( ) = ( 、2(35.75)8421BCD 102

_________卡诺图等。、表示逻辑函数功能的常用方法有_________、3 于只取决出构成,它的输4、组合电路由________________ 而与原状态无关。

_________________相加的__________、不仅考虑两个____________相加,而且还考虑来自5 运算电路,称为全加器。。___________输出的是___________6、译码器,输入的是个数据输______应具有_____个地址输入端一个4选1的数据选择器,7、入端。_____________有关,而且还与、时序逻辑电路的输出不仅和_________8 有关。。_________9、移位寄存器不但可_________ ,而且还能对数据进行功能;三态门可用来实现________OC门的输出端可并联使用,实

现10、。______________ 20分)分共二、选择题(每题2)。1、

是8421BCD码的是(

1101 D、、1100 1010 B、0101 CA、BCAA?。)2相等的是(、和逻辑式

BCA?、A C、 D BABC A、、1+BC

则其表达式,输出端为Y, 3、二输入端的或非门,其输入端为A、B

)。Y= (

BA?AB A+B DC AB A、B、、、1

4、一个T触发器,在T=1时,加上时钟脉冲,则触发器()。

A、保持原态

B、置0

C、置1

D、翻转

5、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是()。

A、5

B、6

C、8

D、43

6、比较两个一位二进制数A和B,当A=B时输出F=1,则F的表达式是()。

、D、、CF=A⊙BA、F=ABB

BF?ABA

AC的最小项标准式为(F(A,B,C) = AB+B C+ )。7、逻辑函数

A、F(A,B,C)=∑m(0,2,4)

B、F(A,B,C)=∑m(1,5,6,7)

C、F(A,B,C)=∑m (0,2,3,4)

D、F(A,B,C)=∑m(3,4,6,7)

8、设某函数的表达式F=A+B,若用四选一数据选择器来设计,则数据端DDDD 的状态是()。(设A为高位)

3021A、0111 B、1000 C、1010 D、0101

9、在移位寄存器中采用并行输出比串行输出()。

A、快

B、慢

C、一样快

D、不确定

10、用触发器设计一个24进制的计数器,至少需要( )个触发器。

A、3

B、4

C、6

D、5

三、化简(每题5分,共10分)

1、用代数法化简下式为最简与或式。

F?A?ABCD?ABC?BC?BC

2、用卡诺图化简下式为最简与或式。

Y(A,B,C,D)= ∑m(0,2,4,5,6,8,9)+ ∑d(10,11,12,13,14,15)

2

10分)四、根据已知条件,画出输出波形。(每题5分,共1、

、2

五、分析题。(共25分)的表达式。Y1、Y2TTL1、门电路如图所示,试分析输入端状态并写出分)(6

3

图中(CT74LS161构成10进制计数器。2、试用集成四位二进制计数器

LDCRCR?LD?CTT?CTP?1,输入记数脉端,当为同步置数端,为异步置0冲时,具有计数功能。)(5分)

3、写出SI、CO的表达式。(4分)

4

、时序电路如图所示,三个触发器的K端状态均为“41”试分析其功能设初态QQQ=011。1)写出电路的驱动方程、状态方程;2)列出状态转换012表;3)分析逻辑功能;4)画出状态图;5)检查能否自启动。(10分)

5

六、试用与非门设计一组合电路,该电路输入为一位8421BCD码,当输入为奇数时,输出为“1”,否则为“0”。(输入只提供原变量)(15分)

定时器,接成施密特触发55515V36.电源电压为+的器,输入信号如图所示,则触发器输出的负脉冲(低。电平)宽度为______________

阵列逻辑图。请写出输出函数ROM44.分析下图所示表达式。

6

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

中南大学数字电子技术基础期末考试试卷(四套附答案)

中南大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1. 逻辑函数Y = AB-^C的两种标准形式分别为 ()、()。 2. 将2004个“1 ”异或起来得到的结果是()。 3. 半导体存储器的结构主要包含三个部分,分别是()、()、()。 4. 8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则 输出电压为()v;当输入为10001000,则输出电压为()V。 5. 就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰 能力强,()的转换速度快。 6. 由555定时器构成的三种电路中,()和()是脉冲 的整形电路。 7. 与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用 了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方 便灵活。 二、根据要求作题:(共15分) 1. 将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2. 图1、2中电路均由CMOS门电路构成,写出P、Q的表达式,并画出对应A、B、C的 P、Q波形。

A B C p 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000-111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421 BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图o (15分) 五、已知电路及CP. A的波形如图4(a)(b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电路期末试题及答案(绝密)

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) 1.逻辑函数Y A B C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

相关文档
最新文档