合肥工业大学电子电路课程设计课题

1.节拍速度渐变的彩灯控制器

设计内容:

在街道、商场或公共场所通常装有各种五彩斑斓的灯饰,美化人们的生活空间。用电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。由电子电路实现一个循环闪亮、具有“流水”般的效果彩灯控制器,并能实现流水的速度有渐变效果。

主要要求及指标:

1.红黄绿三组彩灯循环闪亮,变化规律是:红-红绿-绿-黄绿-黄-全亮-全灭-红,如此循环,产生“流水”般的效果;

2.流水的速度由快到慢,再由慢到快。

3.彩灯白天不亮,晚间自动亮;

4.

参考方案

2.彩灯烟花控制器

设计内容:

用电子电路设计一个彩灯控制器,实现公共场所装设的彩灯烟花树,要求控制数排彩灯,按一定规律依次点亮,形成数条彩灯流,彩的依次闪亮,模拟出烟花爆炸时的效果。

主要要求及指标:

1.灯光流的点亮方式有四种:方式1:亮1灭1;方式2:亮2灭2;方式3:亮4灭4;

方式4:亮8灭8。

2.灯光流的点亮方式每个循环切换一次,先正向切换(从方式1至方式4),再反向切换(从方式4至方式1)。

3.每种8个节拍作为一个循环,一个循环结束时,控制进行方式切换。

4.每4种灯流方式完成时,控制灯流方式切换的正反。

5.★彩灯白天不亮,晚间自动亮;

参考方案

3.移动方向可逆的彩灯控制器

在街道、商场或公共场所通常装有各种五彩斑斓的灯饰,美化人们的生活空间。用电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。由电子电路实现一个可正向循环、逆向循环效果的彩灯控制器。

主要要求及指标:

1.控制红、绿、黄一组彩灯循环闪亮,变化的规律是:先按正向循环闪亮,全灭→红→红绿→绿→黄绿→黄→全亮→全灭。紧接着按逆向循环闪亮→全亮→黄→黄绿→绿→红绿→红→全灭,如此往复循环,产生移动方向变化的“流水”效果。

2.正向循环闪亮速度慢,逆向循环闪亮速度快,

3.彩灯白天不亮,夜晚自动亮。

参考方案

4.“方向之星”控制器

由电子电路设计一组灯饰,把它安装在小汽车的后窗上,用以提示小汽车的左转弯、右转弯、刹车等行车情况,该组灯饰叫“方向之星”。

设计要求及指标

1.正常直线行驶时,两排小灯不亮。这时若紧急刹车(按键J),左(右)排灯同时闪亮,

速率1次/秒;

2.左转弯(按键L)时,左排灯(4个)依次向左闪亮;这时若紧急刹车(按键J),

左排灯同时闪亮,速率1次/秒;

右转弯(按键R)时,右排灯(4个)依次向右闪亮;这时若紧急刹车(按键J), 右

排灯同时闪亮,速率1次/秒;

3.只要按键L、按键R同时按下,两排小灯不亮,但要设计一个声光提示电路,提

示操作有误.

参考方案

5.十字路口自动红绿灯指挥系统

设计一个十字路口交通信号灯控制器,用于控制交通主干道的交通灯和计时器,自动指挥干道车辆和行人轮流通行,保证车辆和行人安全通行。

主要要求及指标:

1.自动完成绿-黄-红-绿-……工作循环;

2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环;

3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机;

4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。

参考方案

6.洗衣机定时正反转控制

洗衣机完成洗涤过程,关键是对洗衣机内的电动机的运行进行合理的控制,保证洗涤过程的有效,节约,方便等。本题任务是:用中规模集成电路实现洗衣机总洗涤时间、定时正反转及洗涤强度控制。

设计要求及指标:

1.完成洗涤电机实现“正转→停止→反转→停止→正转→……”的工作循环。

2.用倒计时的方法,数字显示当前状态的剩余时间.

3. 洗涤强度分强、弱两档。

强档:正转(10秒)→停(3秒)→反转(10秒)→停(3秒)→正转(10秒);

弱档:正转(7秒)→停(3秒)→反转(5秒)→停(3秒)→正转(7秒)。

4.(*) 可设置总的洗涤时间,总的洗涤时间结束时,有声光报警提示。

参考方案

7.数字式电容测量仪

在电子电路的设计或调试过程中,常常需要知道电容元件的好坏及电容量, 由电子电路设计一台数字式电容测量仪——用来测量电容器的电容量C的大小。

设计要求及指标:

1. 被测电容的容量在0.01μF至100μF范围内.

2. 设计两个的测量量程。

3. 用3为数码管显示测量结果。

4. 显示小数点和单位。

参考方案

8.“拔河游戏“玩具

电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。由甲、乙二人通过按钮开关使发光的LED管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。

主要要求及指标:.

1. 设一个裁判按键, 供裁判宣布新一轮游戏开始. 裁判按键后, 两个竞赛按键才有

效,同时将中间的发光二极管点亮(绳子中心居中)。安排15个发光二极管模拟

绳子.

2. 设两个竞赛按键供二人游戏使用,每按动一次,产生一个脉冲,使计数器加1 或

减 1 ,计数器经过译码后,绳子中心相应地移动一次。此处注意:如一方在

按键或松开按键时,要保证另一方能正常工作。

3.当绳子中心(点亮的发光二极管)移到任一端,结束游戏。同时,要锁住计数脉冲,竞赛按键无效,并产生一计分脉冲。

4.(*) 安排两个计分计数器及译码,显示电路,实现计分,记录双方获胜的次数。

参考方案

9. 停车场车位管理系统

某停车场为了便于车辆进出的控制,需要自动通知欲停泊的车辆有无空位,及车辆的准入控制,试设计一个由电子电路实现的、实时检测进车出车、显示停车场空余的泊车位数目、最大停车位数可设定、无空位告警提示的“停车场车位管理系统”。

主要要求及指标:

1.设一个强制清空键。清空后, 显示停车场最大泊车位数(如40)。设两个手动调整

键(个位,十位),方便调整现场实际空余泊车位数,此键应去抖动。两位LED显示.

2.设计一个方向识别电路供加/减计数器使用,进车后空余的泊车位数目减1,出车

后空余的泊车位数目加1 。

3.当无空位时应将无空位告警提示灯点亮,此时若有车强行进入,无空位状态要保

持不变, 若有出车自动解除无空位状态。

4.(*) 设计两个红外线光发射/接收传感器电路供方向识别电路使用,传感器的输

出信号需整形处理。

参考方案

甲传感器

乙传感器

10.触摸玩具控制器

许多儿童玩具除了具有生动的外形以外,还具有运动、闪光、声响等更能吸引孩子的功能,这些功能的实现往往需要采用电子电路。请设计一个触摸触发的玩具小狗控制电路。

主要要求及指标:

1.计一个触摸触发电路及由触摸触发改变的动作状态锁存电路。

2.动作状态的循环为:静止――闪烁发光――发声――行走――静止状态。

3.任一动作的执行时间限制在10秒钟之内,若10秒钟内无下一次触摸,则自动进入静止状态,为此需要设计一个(由触摸启动的)时间控制电路,当定

时时间到时,对状态锁存电路进行复位,使之进入静止。

4.(*)设计适当的驱动电路。

参考方案

11. 方便预置的倒计时数显定时器

在人们日常生活中,或大众关心的某项活动中,或电器使用过程中,常常需要一个倒计时计时器,用以醒目的显示要做的事件所剩下的时间,提醒人们做好准备。本题任务是:设计一个可以快速预置,数显示剩余时间,定时时间到有声响提示的倒计时数字显示器 。

主要要求及指标:

1.设置开始键。按动开始键倒计时开始,定时结束后声响提示。

2. 设置预置键。定时时间可以在范围60妙任意预置,预置后的定时可重复使用。

3. 数字式显示剩余时间。

5. 定时时间到自动进入预置状态,倒计时时预置键无效。

参考方案

倒计时计数(减法)两10进制控制预置键

秒脉冲门

CP

时基电路

秒脉冲

倒计时声响结束判别提示

预置计数器显示

(加法)两10进制

译码

(两位数码管)

显示

译码

(两位数码管)

控制电路Load

开始键计时结束

12.医院病人紧急呼叫系统

医院一个科室有一个医生值班室,需要照顾多个病房的病人,请设计一个由电子电路实现的、多病房使用的、具有病情级别区分、远距离传输、床位对应、集中提示的“医院病人紧急呼叫系统”,

主要要求及指标:

1、一个病床有一个供病人呼叫的按键(至少四个病床)。呼叫后状态存在一组锁存器

内。设计优先编码电路对锁存器内状态编码,根据病人病情设置优先级别,病情严重者优先。

2、当病人紧急呼叫时,产生声、光提示,并按优先级别显示病人编号。

3、设计呼叫清除电路(一个按键),当医生处理完当前(最高优先级)显示的病号后,

可将该呼叫清除,系统能自动显示优先级病床呼叫信号。 4、 (*)双音频声音。 参考方案

清除按键呼叫按键输入电路

声光提示

编码锁存

译码

数码显示

信号锁存

译码

优先编码电路

清除

锁存脉冲产生

按键

13.竞赛抢答器

举办智力竞赛,抢答器是必不可少的器材。该抢答器是一个可容纳六组参赛队的智力竞赛抢答器,在主持人发出抢答开始命令后的规定时间内,各组按抢答键才由效,否则抢答无效。

主要要求及指标:

1. 设一个主持人按键, 供主持人宣布抢答开始. 抢答开始后, 6个选手抢答才有效,

同时启动限时定时器。

2. 设6个抢答按键供6人抢答使用,第一个抢答键按下后要锁住抢答器,并用声、

光指示,用LED显示是几号按键抢到。后按的抢答键不起作用。

3. 安排倒计数定时器。开始后若预定时间内无人抢答,自动给出信号停止抢答。倒

计数定时器的时间可以随意预置。

4.(*)对犯规选手给出告示信号。

参考方案

抢答按钮信

数码

编码

显示

窄脉冲形成音频信号发生

定时

抢答允

许控制

预定时间到

允许

主持人

开始命令load 有人抢答

14.象棋快棋赛电子裁判计时器的设计

主要要求及指标:

1. 象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。

2. 甲乙对奕方的计时器共用一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟(180秒),采用倒计时方式,通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时, 甲方停止计数。

3. 同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时, 乙方停止计数。

6. 超时能发出声音,报警判负。 参考方案

判负音响电路判负音响电路

译码器译码器

数码显示器数码显示器

甲乙计数器计数器

秒脉冲发生器逻辑控制门电路

输入甲按键乙按键锁存裁判键

锁存

15.稳压二极管质量分选器

某稳压二极管生产厂家为捡出不合格的稳压二极管,需要一台“稳压二极管质量检测筛选设备”,请设计该设备的“稳压二极管质量检测和筛选控制电路”,

主要要求及指标:

1.要用恒流源电路(5mA)为被测稳压二极管提供统一的工作点,以保证测量准确性;

2.设计比较电路,将稳压二极管的稳定电压与上限基准电压和下限基准电压进行电压比较,上限基准电压和下限基准电压的要可调,以适合不同的稳压管

检测。

3.设计一个比较结果判别逻辑电路(CMOS芯片),用于判别稳压二极管合格与否,当稳压二极管的稳定电压(3~12V)超出了上下限基准电压时,为产品不

合格应输出相应的剔除控制信号和提示声响。

4.(*)当被测稳压管接反或接触不牢时,给出出错信号(不能给出不合格信息)。

参考方案

恒流源

合格指示

出错指示

单稳音频信号

不合格指示

16. 车用电子计程表

行车计程表是装备在自行车、摩托车和汽车上测试行车距离的一种仪表。应用电子计数器来设计一台用于自行车、摩托车的行车计程表。

主要要求及指标:

1. 最大行车里程99.9km 。LED 显示,单位为km, 具有100m 分辨率。

2. 通过开关可设置为多种型号,以适用于多种型号的自行车、摩托车(至少2个)。 3. 要求节能、低功耗。

参考方案

计数器

译码显示清零键

分频器K2

标准脉冲

门控

单稳态时间窗C

T

F

传感器分频器K1整形

17. 数字密码锁

设计内容:

电子密码锁是现代锁具,它具有更高的安全性和使用的方便性。 设计一个数字密

码锁,要求只有按正确的顺序输入正确的密码方能输出开锁信号,实现开锁路。

主要要求及指标:

1. 设置三个正确的密码键,实现按密码顺序输入的电路。密码键只有按顺序输入后才能输

出密码正确信号。

2. 设置若干个伪键,任何伪键按下后,密码锁都无法打开。

3.每次只能接受四个按键信号,且第四个键只能是“确认”键,其他无效。 4.能显示已输入键的个数(例如显示 * 号)。

5.第一次密码输错后,可以输入第二次。但若连续三次输入错码,密码锁将被锁住,必须系统操作员解除(复位)。

出声光输控制

开锁

报警允许开锁键值锁存

密码顺序判别

伪码键密码键

确认键

复位键复位

按键次数逻辑

计数器

译码显示

控制

合工大通信电子线路课程设计报告

通信电子线路课程设计 设计报告 学院:计算机与信息学院 : 学号: 班级:通信工程14-2班 指导老师:正琼

目录 键入章标题(第1 级)1 键入章标题(第2 级) 2 键入章标题(第3 级) 3 键入章标题(第1 级)4 键入章标题(第2 级) 5 键入章标题(第3 级) 6

设计课题一 LC 正弦波振荡器的设计 1. 设计容和主要技术指标要求 ● 设计容:设计一个LC 正弦波振荡器 ● 已知条件: 三极管 负载 ● 主要技术指标要求: ① 谐振频率ƒ0 = 5MHz ② 频率稳定度o c f f ≤510–4/小时 ③ 输出峰峰值 2. 设计方案选择 ● 方案选择 ① 电感三点式振荡器

优点:由于1L和2L之间有互感存在,所以容易起振。其次是频率易调(调C)。 缺点:与电三点式振荡器相比,其输出波形差。这是因为反馈支路为感性支路,对高次谐波呈现高阻抗,波形失真较大。其次是当工作频率较高时,由于1L和2L上的分布电容和晶体管的极间电容均并联于1L与2L两端,这样,反馈系数F随频率变化而变化。 工作频率愈高,分布参数的影响也愈严重,甚至可能使F减小到满足不了起振条件。因此,优先选择的还是电容反馈振荡器。 电容三点式振荡器 优点:高次谐波成分小,输出波形好,其次振荡频率可以做得很高,因而本电路适用于较高的工作频率。

缺点:频率不易调(调L,调节围小),调1C 或2C 来改变震荡频率时,反馈系数也将改变。但只要在L 两端并上一个可变电容器,并令1C 与2C 为固定电容,则在调整频率时,基本上不会影响反馈系数。 克拉波振荡器 优点:频率可调,,其次改变F 不 受影响,与 无关,故比较稳定。 缺点:频率不能太高,波段围不宽,波段覆盖系数一般约为1.2~1.3,波段输出幅度不平稳,实际中常用于固定频率振荡器。 ○ 4 西勒振荡器 优点:振荡频率可以很高,且在波段振幅比较稳定,调谐围比较 4 C

合肥工业大学数字电路课程设计之电子时钟实验报告1.

设计题目:数字电子钟设计 1.设计任务与要求 (1)时钟功能: 采用数码管显示累计时间,以24小时为周期。(2)校时功能: 能快速校准“时”、“分”、“秒”的功能。(3)整时报时功能: 具体要求整点前鸣叫5 次低音( 500 Hz ) , 整点时再鸣叫一次高音(1 000 Hz左右) , 共鸣叫6 响, 两次鸣叫间隔0 .5 s。(选做) (4)计时准确: 每天计时误差不超过10 s。 2. 方案设计与论证 (1)工作原理 数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可以实现24小时的累计。LED数码管将“时、分、秒”计数器的输出状态显示。校时电路是来对“时、分、秒”显示数字进行校对调整。 根据题设要求,可得题设的总体设计思路框架如下:

方案一: 利用编码器74Ls192芯片对电路进行输入设计。利用74LS192对芯片1kHz的输入信号进行分频,得到1HZ的秒脉冲分信号。再利用74LS192进行产生秒,分,时,最后在数码管上显示出来。 方案二: 利用编码器74Ls92芯片对电路进行输入设计。利用74LS92对芯片1kHz的输入信号进行分频,得到1HZ的秒脉冲分信号。再利用 74LS192进行产生秒,分,时,最后在数码管上显示出来。 方案三: 编程实现数字时钟。 方案比较: 方案电路难易程度实现成本其它 方案一简单低电路简单,不易调 试

合肥工业大学电子电路课程设计课题

1.节拍速度渐变的彩灯控制器 设计内容: 在街道、商场或公共场所通常装有各种五彩斑斓的灯饰,美化人们的生活空间。用电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。由电子电路实现一个循环闪亮、具有“流水”般的效果彩灯控制器,并能实现流水的速度有渐变效果。 主要要求及指标: 1.红黄绿三组彩灯循环闪亮,变化规律是:红-红绿-绿-黄绿-黄-全亮-全灭-红,如此循环,产生“流水”般的效果; 2.流水的速度由快到慢,再由慢到快。 3.彩灯白天不亮,晚间自动亮; 4. 参考方案

2.彩灯烟花控制器 设计内容: 用电子电路设计一个彩灯控制器,实现公共场所装设的彩灯烟花树,要求控制数排彩灯,按一定规律依次点亮,形成数条彩灯流,彩的依次闪亮,模拟出烟花爆炸时的效果。 主要要求及指标: 1.灯光流的点亮方式有四种:方式1:亮1灭1;方式2:亮2灭2;方式3:亮4灭4; 方式4:亮8灭8。 2.灯光流的点亮方式每个循环切换一次,先正向切换(从方式1至方式4),再反向切换(从方式4至方式1)。 3.每种8个节拍作为一个循环,一个循环结束时,控制进行方式切换。 4.每4种灯流方式完成时,控制灯流方式切换的正反。 5.★彩灯白天不亮,晚间自动亮; 参考方案

3.移动方向可逆的彩灯控制器 在街道、商场或公共场所通常装有各种五彩斑斓的灯饰,美化人们的生活空间。用电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。由电子电路实现一个可正向循环、逆向循环效果的彩灯控制器。 主要要求及指标: 1.控制红、绿、黄一组彩灯循环闪亮,变化的规律是:先按正向循环闪亮,全灭→红→红绿→绿→黄绿→黄→全亮→全灭。紧接着按逆向循环闪亮→全亮→黄→黄绿→绿→红绿→红→全灭,如此往复循环,产生移动方向变化的“流水”效果。 2.正向循环闪亮速度慢,逆向循环闪亮速度快, 3.彩灯白天不亮,夜晚自动亮。 参考方案

电路课程设计——对交流电路参数的测量分析

电路课程设计报告 所属院系:电气工程学院 课程名称:电路 设计题目:对交流电路的参数测量分析班级: 学生姓名: 学生学号: 完成日期:

电气短学期课程设计 课程设计课题:对交流电路的参数分析 设计实验目的及要求: (1)自行设计电路,分别验证单一参数电阻、电感、电容两端的电压及流过的电 流间的大小关系、相位关系。 (2)以RLC 串联电路为例,设计电路验证总电压、总电流与阻抗的关系(包括 大小和相位)。 (3)用瓦特计测量单相电路的功率。 (4)对仿真结果进行分析、讨论。 设计实验仪器及设备: 计算机一台 multisim 仿真软件 设计原理: Multisim 是Interctive Image Technologies 公司推出的一个专门用于电子电路仿真和设计的软件,目前在电路分析、仿真与设计等应用中较为广泛。该软件以图形界面为主,采用菜单栏、工具栏和热键相结合的方式,具有一般Windows 应用软件的界面风格,用户可以根据自己的习惯和熟练度自如使用! Multisim 分析过程: 绘制原理图 --- 设置仿真参数 ---- 运行仿真 ----- 观测并分析仿真结果 单相交流电路: 交流电路中如果只考虑电阻的作用,这种电路称为纯电阻电路。在正弦电压的作用下,电阻中通过的电流也是一个同频率的正弦交流电流,且与加在电阻两端的电压同相位。 交流电路中如果只考虑电感的作用,对于交流电,除了线圈的电阻外电感也起阻碍作用,这种电路称为纯电感电路。在正弦电压的作用下,电阻中通过的电流也是一个同频率的正弦交流电流,但在相位上电压比电流超前90o,即电流比电压滞后90o。 在单相交流电路中,把电容器接到交流电源上,如果电容器的电阻和分布电感可以忽略不计,可以把这种电路近似地看成是纯电容电路。在正弦电压的作用下,电阻中通过的电流也是一个同频率的正弦交流电流,但相位上电压比电流滞后90o,即电流比电压超前90o。 RLC 串联电路中,电路中的性质,受电感L 和电容C 的参数有关,当X L > X C 时,则U L >U C ,阻抗角>0,电路呈电感性,电压超前电流角。当X L < C 时,则U L

第五讲电力电子器件(四)-合肥工业大学精品课程

第五讲 电力电子器件(四) 5.1 电力电子器件驱动电路 5.1.1 电力电子器件驱动电路概述 驱动电路——主电路与控制电路之间的接口 使电力电子器件工作在较理想的开关状态,缩短开关时间,减小开关损耗,对装置的运行效率、可靠性和安全性都有重要的意义; 对器件或整个装置的一些保护措施也往往设在驱动电路中,或通过驱动电路实现。 驱动电路的基本任务: 将信息电子电路传来的信号按控制目标的要求,转换为加在电力电子器件控制端和公共端之间,可以使其开通或关断的信号; 对半控型器件只需提供开通控制信号; 对全控型器件则既要提供开通控制信号,又要提供关断控制信号。 驱动电路还要提供控制电路与主电路之间的电气隔离环节,一般采用光隔离或磁隔离 光隔离一般采用光耦合器; 磁隔离的元件通常是脉冲变压器。 图1-25 光耦合器的类型及接法 a) 普通型 b) 高速型 c) 高传输比型 电流驱动型和电压驱动型 具体形式可为分立元件的,但目前的趋势是采用专用集成驱动电路: 双列直插式集成电路及将光耦隔离电路也集成在内的混合集成电路; 为达到参数最佳配合,首选所用器件生产厂家专门开发的集成驱动电路。 5.1.2 晶闸管的触发电路 作用:产生符合要求的门极触发脉冲,保证晶闸管在需要的时刻由阻断转为导通 广义上讲,还包括对其触发时刻进行控制的相位控制电路 晶闸管触发电路应满足下列要求: • 触发脉冲的宽度应保证晶闸管可靠导通(结合擎住电流的概念) • 触发脉冲应有足够的幅度 • 不超过门极电压、电流和功率定额,且在可靠触发区域之内 • 应有良好的抗干扰性能、温度稳定性及与主电路的电气隔离 E E a ) b ) c ) t

电子钟——合肥工业大学数字逻辑课程设计报告

一)设计题目: 电子钟 二)设计任务和基本要求: 1.实验台上的六个数码管显示时、分、秒; 2.能使电子钟复位(清零); 3.能启动和停止电子钟运行; 4.在电子钟停止运行状态下,能够修改时、分、秒的值; 5.具有报时功能,整点时喇叭鸣叫。 三)所用主要器件和设备: 1、TDS 系列数字电路实验系统 2、ISP 系统可编程器件以及《数字逻辑》课程实验所用部分 中、小规模集成电路等 3、PC 计算机 4、ISP1032E 可编程逻辑器件以及数据选择器、触发器、移 位寄存器、计数器及基本门电路等 5、在Windows 平台上运行的ispLEVER 编程软件 四)设计思想: 数字钟电路的基本结构由两个 60 进制计数器和一个24 进制计数器组成,分别对秒、分、小时进行计时,当计时到23 时59 分59 秒时,再来一个计数脉冲,则计数器清零,重新开始计时。 当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6 个数码管来动态显示。数字钟除了能够正常计时外,还应能够对时间进行调整。因此,通过模式选择

信号MOD1、MOD2 控制数字钟的工作状态,即 控制数字钟,使其分别工作于正常计时,调整分、时和设定分、时5 个状态。当数字钟处于计时状态时,3 个计数器允许计数,且秒、分、时计数器的计数时钟信号分别为CLK,秒的进位, 分的进位;当数字钟处于调整时间状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,会响闹钟 五)设计步骤及程序: pause是暂停键,当暂停时set1与set2分别控制调节为时还是为分或是为秒。exam提供一个模拟上升沿,hh,hl,mh,ml,sh,sl分别控制小时高位,低位,分钟高位,分钟低位,秒高位,低位。thh,thl,tmh,tml,tsh,tsl分别表示时分秒变化的中间变量。alarm表示闹钟是否报时 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clock is port( clk:in std_logic; clr:in std_logic; pause:in std_logic; set1:in std_logic; set2:in std_logic; clk1:in std_logic; alarm:out std_logic; hh,hl,mh,ml,sh,sl:out std_logic_vector(3 downto 0) ); end clock; architecture behavioral of clock is signal count:integer range 0to 1000; signal thh,thl,tml,tmh,tsh,tsl:std_logic_vector(3 downto 0); signal exam:integer; begin process(clk,clk1,clr,pause,set1,set2) begin

IC设计课程设计(前端设计)-指导书_电子科学与技术2012

合肥工业大学电子科学与技术专业《集成电路设计》课程设计指导书 (前端设计部分) 合肥工业大学电子科学与应用物理学院 电子科学与技术系 2012年10月更新

第一类:集成电路前端设计 设计一交通信号灯控制器设计 1、设计一个用于主干道和支道公路交叉口的交通信号灯控制器。 2、优先保证主干道通行,平时处于“主干道绿灯,支道红灯”状态,支道有 车辆要穿过主干道时,信号灯改为“主干道红灯,支道绿灯”,支道无车辆时,信号灯返回“主干道绿灯,支道红灯”状态。 3、主干道和支道每次通行时间不得短于30s,两个状态交换之间有“主黄, 支红”和“主红,支黄”的中间状态,持续时间均为4s。 4、主干道和支道是否来车用按键来代替,交通信号灯用LED来代替。 设计二简易电子琴设计 1、设计一个简易电子琴。要求能演奏的音域为中音的 1 到高音的 1。 2、用GW48-PK2中的8个按键作为琴键。 3、 GW48-PK2中有蜂鸣器。 4、可以使用GW48-PK2上的12MHz作为输入时钟信号。 设计三数字频率计设计 1、设计一个数字频率计,对方波进行频率测量。 2、频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。GW48-PK2 上可以提供一个1Hz的标准信号,利用这一信号可以得到1s宽度的闸门信号。 3、也可以采用其它方案测量频率。 4、GW48-PK2中的数码管可以用来显示数据 设计四直接数字合成器设计 1、输入不少于8位频率控制字,不少于8位相位控制字。 2、 10位2进制数据输出,直接接GW-ADDA板上的D/A。 3、时钟信号使用GW48-PK2上提供的信号 设计五 A/D采样控制电路设计 1、用FPGA对ADC0809进行控制使之完成对输入信号的采样。 2、控制电路应该输出启动信号,通道选择地址信号的锁存信号,检测ADC0809 的转换情况状态信号EOC,输出使能信号OE,读入转换结果,通过LED数码管显示。 3、 ADC0809和LED数码管由GW48-PK2系统提供。

合肥工业大学现代医学仪器可靠性设计课程报告

合肥工业大学现代医学仪器可靠性设计课程报告 一、时间与地点 医学仪器与设备课程设计时间为1周,共40个学时。 课程设计地点:生物医学工程专业实验室。 二、性质、目的与任务 医学仪器与设备课程设计是教学过程中一个重要组成部分,是生物医学工程专业的一门实践性的课程。 本课程针对常见的生物医学电子仪器中的典型电路、传感器、信号和处理的问题,提出设计题目,对学生进行设计实践训练,使学生学习正确的设计思想,方法和步骤,将理论与实际有机联系、紧密结合,熟练使用测试仪器,提高实验技能,培养分析和解决问题的能力。 大纲列出了一些主要课程设计内容。每位同学必须选择完成其中的一项设计内容。课程设计指导教师可根据具体情况对所列出内容作适当修改,制定课程设计任务书。 三、基本要求 生物医学工程专业课程设计应该达到以下几点要求: 1.指导教师的职责 ①下达课程设计任务书,明确课程设计的任务和要求。 ②指导学生制定课程设计的实施方案和方法步骤,帮助学生做好必要的各种准备。 ③为学生提供有价值的资源和信息(如参考书和参考文献),启

发学生独立思考、独立解决,注意培养学生独立分析和独立工作的能力。 ④考核并写出该学生的思想表现、实习效果的评语。 2.本课程是一门综合实践课程,通过系统设计、样机研制、安装、调试及撰写设计报告等各个环节的学习,使学生达到以下要求: ①根据构建的生理模型或者生理学、医学等对生命的研究成果,提出系统总体设计方案和工程实现的方法、途径;按功能进行合理的模块化分解;按照产品成本要求和性价比优选的原理,进行软硬件设计的选择与规划,并绘制出系统总框图。 ②初步具备一定的医学电子电路分析与设计能力;巩固和加深对医学仪器及设备课程基本知识的理解;能初步综合运用医学仪器与设备课程的理论知识解决简单的实际问题。 ③锻炼独立思考、分析和解决问题的能力;初步运用所学知识分析解决实验调试过程中出现的问题。 ④掌握常用仪器的使用方法;熟悉医学电子电路的安装、调试、布线等基本技术。 ⑤编写完整、规范的设计说明书。 ⑥培养科学求实、严谨治学的态度和一丝不苟、严肃认真的工作作风。 四、课程设计内容 课程设计内容的安排既要符合教学计划的要求,又要兼顾学生的知识水平、实际情况及课程设计时间的限制,同时还要有利于培养学

合肥工业大学 电子信息工程 专业指导性教学计划讲解

合肥工业大学电子信息工程专业指导性教学计划 一、培养目标与基本规格 本专业培养适应21世纪社会主义现代化建设需要、德智体全面发展、基础扎实、知识面宽、能力强、素质高、富有创新精神的电子信息工程领域内高级工程技术人才。 本专业培养的基本规格是: 1、热爱社会主义祖国,拥护共产党的领导,掌握马列主义、毛泽东思想和邓小平理论的基本原理;愿为 社会主义现代化建设服务,为人民服务;有为国家富强、民族昌盛而奋斗的志向和责任感;具有敬业爱岗、艰苦求实、热爱劳动、遵纪守法、团结合作的品质;具有良好的思想品德、社会公德和职业道德。 2、积极参加社会实践,走正确成长的道路。受到必要的军事训练,能够同群众结合,理论联系实际,实 事求是,热爱劳动。 3、懂得社会主义民主和法制,尊纪守法,举止文明,有“勤奋、严谨、求实、创新”的良好作风。 4、比较系统地掌握本专业所必需的自然科学基础和技术科学基础的理论知识,具有信号的获取与处理、 电子设备与信息系统等方面的专业知识以及相关的工程技术知识和技术经济、工业管理知识,对本专业学科范围内的科学技术新发展及其动向有一般的了解。 5、具有从事信息产业所必需的运算、实验、测试、计算机应用等技能。 6、有独立获取知识、提出问题、分析问题和解决问题的基本能力以及具有较强开拓创新的精神,具备一 定的社会活动能力、从事本专业业务工作的能力和适应相邻专业业务工作的基本能力与素质,具有工程经济观点,受到工程设计方法和科学研究方法的初步训练。 7、初步掌握一门外国语,能够比较熟练地阅读本专业的外文书刊。 8、了解体育运动的基本知识,掌握科学锻炼身体的基本技能,养成锻炼身体的良好习惯,达到国家规定 的大学生体育合格标准,讲究卫生,身体健康,能胜任未来的工作,能够承担建设祖国和保卫祖国的光荣任务。 9、具有较好的文化素养和心理素质以及一定的美学修养。 二、业务范围 本专业培养的毕业生可从事于: 1、电子信息工程系统部件和设备的设计和制造; 2、信息采集、传输、处理和应用方面的研究和开发; 3、广播与电视、雷达、通信及仪器仪表方面的设计与开发; 4、计算机网络与计算机应用方面的工作。 三、主干学科和主要课程 主干学科:电子科学与技术,信息与通信工程,计算机科学与技术。 主要课程:电路理论系列课程、计算机技术系列课程、电磁场与电磁波、信号与系统、 通信原理、信息论数字信号处理、测量技术基础、通信网基础。 四、学制:四年 五、知识结构与能力结构 1、较系统的掌握本专业领域宽广的技术基础理论知识,适应电子和信息工程方面广泛的工作范围; 2、掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的基本能力; 3、掌握信息获取、传输、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息 系统的基本能力;

合肥工业大学2011级电气学院EDA实验报告

合肥工业大学2011级电气学院EDA实验报告 目录 一.摘要 二.EDA简介 三.Max+Plus2软件使用指导 (1)Max+Plus2使用练习 (2)3-8译码器 (3)用74161实现十进制加法器 (4)六十进制加法器计数器 (5)利用六十进制做出十二进制加法器计数器 (6)设计一个电路,使八个数码管依次显示0、1、2…A、B、… E、F。 (7)设计一个电路,使两个数码管显示1~12的十二进制计数, 两个数码管显示0~59的六十进制计数器 四.实验设计:多功能数字电子钟 五.结论 六.参考文献 摘要 EDA技术是电力电子设计自动化技术,可以通过软件的方式实现硬件电路的实现。主要使用带电子电路中控制单元的设计,例如:多工能数字时钟的设计、数字式竞赛抢答器的设计、智能家电、电梯控制等。 Abstract EDA technology is the electronic design automation technology that can be achieved by software,hardware circuit implementation.Mainly used in the control unit to an electronic circuit design,such as: multifunction digit clock design,digit competition design,intelligent household appliances,elevator control.

关键词 EDA技术电子设计自动化 EDA technology the electronic design automation technology EDA简介 (1)EDA是电子设计自动化(Electronic Dedign Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 20世纪90年代,国际上电子和计算机技术较先进的国家一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大的成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性,这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大的改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动完成逻辑编译、简化、分割、综合、优化、布局、布线、和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率好可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或者PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞

数字电路课程设计及仿真(秒表)

《电子电路CAD》课程设计报告 学院: 电力学院 专业: 电子科学与技术 学期:2014-2015第一学期 学号: 201110919 姓名: 胡玉西 指导老师:谭联

目录 第一章设计概述 (2) 1.1课题说明 (2) 1.2 设计内容 (2) 1.3 设计要求 (2) 1.4 总体设计思路 (2) 第二章单元电路的设计 (3) 2.1 分频进位功能的实现 (3) 2.2 分频电路 (4) 2.3 计数电路 (4) 2.4 计数清零功能的实现 (4) 2.5 开关驱动电路 (5) 第三章原理图绘制 (6) 第四章仿真图 总结 (10) 参考文献 (10)

1. 实验设计指标及要求: 1.1课题说明: 在体育比赛、时间准确测量等场合通常要求计时精度到1%秒(即10 ms )甚至更高的计时装置,数字秒表是一种精确的计时仪表,可以担当此任。本课题的设计任务设计一个以数字方式显示的计时器,即数字秒表。 1.2设计内容: a) 数字秒表需求分析,信号及属性定义; b) 电路原理设计、分析、参数计算,画出电路原理图; c) 电路安装与实验测试。 1.3设计要求: d) 量程99.99 S ,计时精度1%秒,计时结果动态显示,十进制格式; e) 设置启动、清除信号,清除信号使输出结果,使电路复位到初始状态; f) 设置暂停、停止信号,暂停、停止时均保持当前结果,直到清除信号有效时止; 1.4总体设计思路: 数字秒表由4个部分组成:精确的时钟源、十进制计数器、译码器、七段码或液晶显示电路。 时钟源产生符合精度要求的基准时钟,本设计中取10毫秒即可。十进制计数器需要4个,分别对应4个十进制位,输出为BCD 码。若采用七段码显示器则译码器完成BCD 到七段码的译码,由4位显示电路动态显示结果。 综上所述,数字秒表应具有以下结构(如图1所示): 图 1

电子电工课程设计-函数发生器

电子电工技术课程设计 题目:函数发生器 班级:10材化(2)班 学号:201010230232 姓名:彭丹 指导:张老师 时间:2012年6月12日 景德镇陶瓷学院

电工电子技术课程设计任务书 姓名彭丹_ 班级_10材化(2)班_ 指导老师张老师

【9】刘丹.《例说8051》.北京:人民邮电出版社 【10】李群芳.《单片微型计算机与接口技术(第二版)》.北京:电子工业出版社 【11】王琼.《单片机原理及应用实践教程》.合肥:合肥工业大学出版社【12】蒋立培.《单片危机系统使用教程》.北京:机械工业出版社【13】谢自美.《电子线路设计·实验·测试(第三版)》.湖北:华中科技大学出版社 【14】杨翠娥.《高频电子线路实验与课程设计》.哈尔滨:哈尔滨工程大学出版社 【15】张肃文.《高频电子线路(第三版)》:高教出版社 【16】曾兴雯陈健刘乃安.《高频电子线路辅导》.西安:西安电子科大出版社 目录 1、总体方案与原理说明. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 2、方波发生电 路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . (5) 3、方波—三角波产生电路 图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . (6) 4、三角波—正弦波产生电路 (8) 5、总体电路原理相关说明. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .10

合工大EDA课程实验报告

课程设计任务书(2012 ~2013 第三学期) 设计题目:EDA与数字系统课程设计 学院名称:电气与自动化工程学院 专业(班级):自动化11-1班 姓名(学号):雷锋7474741 起始日期:2013年7月 1日-2012年 7月30日 指导教师:刘春朱维勇 系(教研室)负责人:刘春朱维勇

目录 一、中文摘要 (03) 二、外文摘要(Abstract) (04) 三、设计正文 (06) 实验一 Max+Plus2使用练习 (06) 实验二 3-8译码器 (07) 实验三用74161实现十进制加法计数器 (08) 实验四六十进制加法计数器 (09) 实验五数码管显示0-9、A-B (10) 实验六两个数码管分别显示1-12和0-59计数 (11) 四、设计题目:多功能数字钟的设计 (12) 1、设计要求..........................................12. 2、设计原理 (12) 3、设计内容 (13) a.时钟进位模块 (14) b.校准、定时输入 (15) c. 闹钟选择模块 (15) d. 显示切换模块......... .. (16) e. 数据比较器... . (16) f.数码管扫描显示模块 (16) 五、结论 (17) 六、谢辞 (18) 七、参考文献 (18) 八、附件1:合肥工业大学课程设计任务书 (19) 九、附件2:答辩成绩评定书 (20)

中文摘要 了解各种PLD器件的基本结构,掌握MAX+Plus2的使用方法用图形输入法和Verilog HDL完成规定的基本练习题,在此基础上完成一个数字系统设计题的设计、仿真、下载(FPGA实现)。 MAX+plusⅡ(Multiple Array and Programming Logic User System)开发工具是美国Altera公司推出的一种EDA工具,具有灵活高效,使用便捷,易学易用的特点。EDA是电子设计自动化(Electronic Dedign Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 20世纪90年代,国际上电子和计算机技术较先进的国家一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大的成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性,这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大的改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动完成逻辑编译、简化、分割、综合、优化、布局、布线、和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率好可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或者PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA 的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。 关键词:电子设计自动化、Max+Plus 2

相关主题