数字电路小系统设计实验

数字电路小系统设计实验
数字电路小系统设计实验

实验3.3 数字电路小系统设计 (6学时分2次完成)

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能,在定时控制、定时检测等方面也有广泛应用。

一、实验目的

设计一个包含脉冲波形产生、计数、译码、显示及控制逻辑等部件的数字,并在面包板上实现。

二、实验思路和实验前准备

1.熟悉译码器的逻辑功能

一个译码器是将输入确定位数二进制代码的不同组合“翻译”成不同的对应输出信号。常用的译码器有教材上介绍的3-8译码器74LS138,即输入有3位二进制,其对应的8种组合分别与一个输出对应,其逻辑符号如图3.3.1。当所有74LS138的输入控制端有效时,输出与输入最小项的对应关系是i i m Y (i =0,1,…7)。因此教材中已经介绍了它可以实现多输出逻辑函数。

但在数字系统的设计中,译码器的另一个更为重要的作用是地址译码,也就是说,译码器将A 0、A 1、A 2输入的三位地址“翻译”成8个输出信号,A 0、A 1、A 2的一个确定值仅对

有一个输出为低电平有效。一般在数字计算机系统中,译码器的这8个输出信号分别接到其它器件的片选端CS (Chip Select ),其上的横线代表片选信号是低电平有效,即低电平选中该芯片,它就可以与计算机通信数据。因此74LS138的8个输出最多可以连接8个计算机外设

图3.3.1 74LS138逻辑符号图

图3.3.2 IBM —PC/XT 系统主板 I/O 接口地址译码电路

接口,而任一时间最多只选中一个工作。图3.3.2就是早期的PC 计算机使用74LS138译码器构成计算机中DMA 控制器8237、中断控制器8259A 、计数/定时器T/C (8253)、并行可编程接口PPI (8255A )、DMA 页面寄存器及NMI 屏蔽寄存器的片选或控制端。根据图中连接方法,可得到各芯片或寄存器的地址空间如图3.3.2右面所列。在信号AEN=1时,大家分析图中所标的各个芯片的地址范围是否正确?这一部分在学习微型计算机原理时会有更深刻的体会。

译码器还有许多其它的型号,与集成逻辑门中介绍的方法一样,可以在丰富的网络资源中得到找到需要的相关信息。

另外,在实验前,有必要先介绍一下器件符号的概念,对于同一个器件的逻辑符号图或引脚图,不同的器件手册或教材使用的引脚符号和表示形式都不一样,也许大家在学习过程中已经注意到这一点。为了与教材保持一致,我们对逻辑符号图进行规范,即逻辑符号框图内所有变量均为正逻辑(即框内符号上没有非号),逻辑符号框图外输入端的小圆圈表示该输入控制端为低电平有效,而输出端的小圆圈表示反码输出。即小圆圈实现了逻辑非运算,那么逻辑符号框图外对应的每个引脚的符号或变量名就默认为:当逻辑符号框图外引脚没有小圆圈时,那么该引脚对应的变量名与框图内符号一样,但用斜体表示是变量。例如,图3.3.1中的A 0、A 1、A 2和E 3;当框图外引脚有小圆圈时,那么该引脚对应的变量名是在框内符号上冠一非号,例如,图3.3.1中的0Y 、1Y 、…7Y 、2E 和1E 。以后框图外引脚对应的变量名不再标出,但使用时按照以上规定。但要注意这只是教材的规定,并不是标准,其它的参考书或器件手册中的标法可能会五花八门。因此,使用器件时,大家学会使用器件的方法是最重要的,这样面对不断出现的新器件才不会束手无策。通过大量的使用集成器件,大家会发现使用中小规模的集成器件只要了解以下几点即可:

1) 当输入信号端有小圆圈(一般是控制输入端),表示该端为低电平有效,当输出信 号端有小圆圈,表示器件工作时该端输出低电平有效;

2) 多控制端芯片只有当所有控制端同时有效时,才可以实现芯片的逻辑功能; 3) 如果资料中给出了器件的功能表,要学会看对应的功能表,器件功能以功能表为准; 4) 资料也是经常会有出错的情况,遇到问题可以通过实验来最后验证。

2. 集成计数器

计数器的功能是累计输入脉冲个数。它是数字系

图3.3.3 74LS393管脚图

统中使用最为广泛的时序逻辑部件。计数器的种类非常繁多,为了降低集成电路的价格,所以厂家会批量生产通用的十六进制(二进制)和十进制计数器。

虽然有通用的十六进制和十进制计数器,但实际应用中时常会用到其它进制计数,比如,数字钟的24和60进制等,对于其它进制计数器的设计,可以通过教材中介绍的使用反馈的方法来实现。

例如,现有一块十六进制计数器74LS393,其功能如表3.3.1,管脚符号如图3.3.3。使用74LS393的清0端MR 端作为反馈清0,实现十进制计数器如图3.3.4所示。

图3.3.5给出了24进制计数器设计举例。

3. LED (Light Emitting Diode )显示器(七段数码管)

LED 显示器在许多的数字系统中作为显示输出设备,使用非常广泛。它的结构是由发光二极管构成如图3.3.6所示的a 、b 、c 、d 、e 、f 和g 七段,并由此得名,实际上每个LED 还有一个发光段dp ,一般用于表示小数点,所以也有少数的资料将LED 称为八段数码管。

LED 内部的所有发光二极管有共阴极接法和共阳极接法两种,即将LED 内部所有二极管阴极或阳极接在一起并通过com 引脚引出,并将每一发光段的另一端分别引出到对应的引脚,LED 的引脚排列一般如图3.3.6所示,使用时以具体型号的LED 资料为依据。通过点亮不同的LED 字段,可显示数字0,1,┅,9和A ,b ,C ,d ,E ,F 等不同的

图3.3.5 用74LS393实现24进制计数器

图3.3.4 用74LS393实现10进制计数器

字符及自定义一些段发光代表简单符号。

图3.3.7为LED 的使用举例,图中的LED 为共阳极接法,因此,com 端接5V 电压,其它引脚端通过限流电阻接到锁存器74LS373的输出,当各段输入端为逻辑“1”,对应的LED 不亮;各段输入端为逻辑“0”时,对应LED 才发亮。使用时要根据LED 正常发光需要的电流参数估算限流电阻取值。电阻取值越小,电流大,LED 会更亮,但要注意长时间过热使用烧坏LED 。

在实验中当共阳极LED 与74LS47译码器配合使用时,因为

不是每个引脚接限流电阻,故需要在总回路中限流,应在com 端 图3.3.6 LED 结构和引

和V cc 之间串接1个470Ω限流电阻,如图3.3.6所示

LED 多数情况用于显示十进制数字,要将0~9的数字用7段显示,必须将数字转换为LED 对应七段码的信息,比如,要显示“0”,就是让a 、b 、c 、d 、e 和f 段发光,显示“1”, 让b 和c 段发光,等等如表3.3.2所示。然后根据LED 是共阴极还是共

阳极接法确定LED 各输入端应接逻辑1还是逻辑0,如果是共阳接法,要显示“0” 时,a 、b 、c 、d 、e 和f 段就要输入逻辑0,共阴极接法则恰巧相反。也就是说,对于共阴极和共阳极两种不同的接法,显示同一个字符时,对应的显示段码是不同的,互为反码。表3.3.2列出了这两种接法下的字形段码关系表。表中的段码数字是以LED 的8段与二进制字节数以下列对应关系为前提得到的:

图3.3.7 LED 显示举例

D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0

dp g f e d c b a

表3.3.2 BCD 码与LED 相应发光段对照表

比如为了显示“0”,对应共阴极应该使D 7D 6D 5D 4D 3D 2D 1D 0 = 00111111B ,即3FH ;对共阳极应该使D 7D 6D 5D 4D 3D 2D 1D 0 = 11000000B ,即C0H 。如表3.3.3所示,从表中可以看出,对于同一个显示字符,共阴极和共阳极的七段码互为反码。

将待显示内容“翻译”为LED 段码的过程,可以由软件查表方法实现译码,这在学习微型计算机有关课程时会使用。也可以采用专用芯片,比如,带驱动的LED 七段译码器74LS47及74LS48、74LS49等,依靠硬件实现译码。

表3.3.3 7段LED 显示器字符段码表

4.七段译码器

七段译码器也称为BCD —七段显示译码器,顾名思义,它是将输入的BCD 码翻译成LED 显示该BCD 的七段信息输出。七段译码器有输出低电平有效和高电平有效的多种型号。当选用的LED 是共阳极接法时,应使用低电平输出有效的七段译码器,如7446和7447等;当选用的LED 是共阴极接法时,应使用高电平输出有效的七段译码器,如7448和7449(OC 输出)等。

七段译码器驱动LED 的原理如图3.3.8所示,图中的“?”是表示LED 和七段译码器之间一般还要接限流电阻或其它匹配电路,或者驱动译码器是OC 输出,需要接上拉电阻。七段译码器内部一般包含了LED 的驱动电路,驱动共阳极LED 的译码器(输出低电平对应段亮)驱动电流一般较大(灌电流),如果该电流与LED 器件的正常工作电流近似,那么可以直接驱动LED ,如果驱动电流大于LED

图3.3.8 七段译码显示原理框图

正常电流许多,那么两者之间要加限流电阻,根据LED的参数估算限流电阻的大小;如果七段译码器驱动能力不够大,特别是驱动共阴极LED时,可以在两者之间加适当的上拉电阻,比如7448驱动共阴极的BS201时,要LED有正常显示亮度就要加上拉电阻。

LED也有多种型号,如BS211、BS212、BS213为共阳型;BS201、BS202、BS203为共阴型。每种型号的LED厂家手册都提供了详细功能及参数介绍(可以通过资料或网络查找),比如,七段共阴磷砷化镓显示器BS201主要参数:

1)消耗功率P M=150mW

2)最大工作电流I FM=100mA

3)正常工作电流I F=40mA

4)正向压降V F ≤ 1.8V

5)发红色光

6)BS201燃亮电压为5v

共阴极BS202LED的P M=300mW,I FM=200 mA,I F=60mA,V F ≤ 1.8V,V R≥5V,发红光。

实验中采用七段共阳极数码管(TFK-433)和74LS47七段驱动器,查找它们的详细资料,分析两者之间应如何连接?画出连线图。

5.多个LED的动态扫描显示

在许多实际的系统中,经常需要多个LED显示系统的信息,比如,数字钟实验要显示时、分和秒信息,就必须要6个LED,对这些LED的控制也可以和上面一位LED显示器一样,采用6个七段译码器驱动每一个LED,并使所有LED的公共端始终接有效信号,即共阴极LED公共端接地,共阳极LED公共端接电源。这种LED显示方式称为静态显示方式。采用静态方式,LED亮度高,但这是以复杂硬件驱动电路作为代价的,硬件成本高。

因此,在实际使用时,特别是有微处理器的系统中,如果用多位的LED显示,一般采取动态扫描方式、分时循环显示,即多个发光管轮流交替点亮。这种方式的依据是利用人眼的滞留现象,只要在1秒内一个发光管亮24次以上,每次点亮时间维持2ms以上,则人眼感觉不到闪烁,宏观上仍可看到多位LED同时显示的效果。动态显示可以简化硬件、降低成本、减小功耗。图3.3.9是一个6位LED动态显示电路,段驱动器输出LED字符7段代码信息,位驱动器输出6个LED的位选信号,即分时使Q0~Q5轮流有效,使得LED0~LED5轮流显示。

6. 熟悉计数器、七段译码器和LED 显示器逻辑功能 按图3.3.4连线,用74LS393实现一位十进制计数器;由七段共阳极数码管(TFK -433)显示计数结果,其引脚排列同图3.3.6 ;数码管由BCD —七段显示译码器(74LS47)驱动,其引脚排列如图3.3.10所示。

TFK -433和74LS47之间按实验前准备分析的方式连接。一位十进制计数器的译码、显示电路原理图如图3.3.11所示, 搭接电路并实验。 ET-3200A 数字学习机上的1Hz 信号可以作为计数输入,或者用555定时器构成1Hz 信号作为计数器时钟输入。

7.验证人眼的滞留现象

首先,将ET-3200A 学习机上的1Hz 时钟信号接到逻辑显示器的L4端,观察L4的显示效果;然后,将1KHz 时钟信号接到逻辑显示器的L4端,观察L4的显示效果;最后将100KHz 时钟信号接到逻辑显示器的L4端,观察L4的显示效果。

记录观察的到现象,分析原因。

8.555定时器组成的多谐振荡器

555定时器是一种模拟和数字电路相混合的集成电路。它结构简单、性能可靠、使用灵活,通过外接少量的电阻和电容元件即可组成多种波形发生器,广泛应用于定时延迟控制电路、报警、检测、自控及家用电器等。

555定时器有TTL 和CMOS 两种结构类型,多种型号。该实验使用5G555构成多谐振荡器。5G555的功能如表3.3.4所示,引脚排列如图3.3.12所示。电路芯片各引脚功能为:

1脚——(CND)地

2脚——(TR )低电平触发(<十cc V 3

1) 3脚——(OUT )输出端

4脚——(D R )复位端(不用时接V cc )

5脚——(C-U )电压控制端,可改变上、下触发电位,不使用时通过0.01uF 电容接到地。 6脚——(TH )高电平触发( >十cc V 32

) 7脚——(DIS )放电端

8脚——(V cc)电源端(V cc=5V ~18V)

图3.3.10 74LS47符号图

图3.3.11 实验原理框图

表3.3.4. 5G555功能表

由功能表3.3.4可见,555的输出逻辑主要由以下3个引脚控制 1)引脚4——复位端D R 为0则输出OUT 为逻辑0;

2)引脚6——高电平触发TH >十cc V 32,DIS 放电管导通,输出OUT 为0;

3)引脚2——低触发电平TR <十cc V 3

1

,DIS 放电管截止,输出OUT 为1。

由555定时器构成的多谐振荡器电路如图3.3.13所示,所产生的信号振荡频率为:

f =1/(T 1+T 2) =1.44/(R 1+2R 2)C

试选择电路的R 1、R 2和C 参数,若R 1=20KΩ、R 2=62KΩ、C =10uF 和C =0.01uF 时,计算输出信号的周期、频率各为多少?

9. 数字钟结构框图

R 1

R 2 u C

C 12 t

(a) (b)

图3.3.13 555定时器构成的多谐振荡器及工作波形图

(a) 电路图 (b) 波形图

图3.3.12 555引脚图

cc

在进行一个设计之前首先要搞清楚命题要求,划分系统,给出设计框图。对于一个简单的数字钟系统,其结构一般如图3.3.14所示,主要包括时间基准电路、计数器电路、控制电路、译码和显示电路。其中的控制逻辑电路是比较灵活多样的,不断完善它可以增强数字钟的功能。

时基电路可以由石英晶体振荡电路构成,如果晶振频率为1MHz ,经过6次十分频就可以得到秒脉冲信号;也可以用5G555多谐振荡器组成。

计数器实现时分秒计时,秒和分采用60进制计数,时采用24进制计数。可以由多片中规模集成计数器实现。

译码电路可以由七段译码器完成,显示由6个LED 构成。 控制电路由数字钟功能确定,功能越多控制电路当然就越复杂。

比如,要求数字钟实现校时功能,那么就需要在“时”“分”“秒”的计数输入端加入校时控制电路,图3.3.15为一个手动校“分”的常用例子,当控制开关K 在1位置,电路处于正常计时状态,当控制开关K 在2位置,通过手动单次脉冲开关进行校分。校时也可以通过同样的方法实现。在实验中用手动校“秒”就没有多大意义了。

三、实验内容和步骤

根据前边知识的预习及准备,要求画出设计原理图及实验连线图,用开放实验下发的元器件和面包板,设计一个包含脉冲波形产生的计数、译码、

显示及控制逻辑等部件的数字电

图3.3.15 手动校“分”电路

子钟系统,在面包板上实现。根据设计的数字钟电路连线图,课外搭接好电路。

1.前3学时内完成以下单元电路调试和总体电路联调:

1)用555定时器设计一个频率符合要求的脉冲波形产生电路;

2)用74LS393和74LS08设计一个二位计数器电路;

3)用74LS47和LED显示器设计一个二位译码、显示电路;

4)完成二位计数、译码、显示电路;

5)组合完成一个含有计数、译码、显示及控制逻辑的数字钟系统。

2. 后3学时内完成相关电路波形的参数测试和数据分析:

1)更换脉冲波形产生电路中的电容,用LED指示灯观测输出频率的变化,并分析RC与频率变化的关系;

2)用双踪示波器测量555定时器组成的脉冲波形产生电路中,电容C上的充、放电电压V c波形与输出电压V o波形之间的相位关系,正确标出波形幅值、周期和脉宽;将测量得到的数据与理论计算值比较,分析误差。

3)用双踪示波器观测74LS393计数器CP与各Q端波形的相位关系,即从0000状态开始,正确画出CP与Q3、Q2、Q1、Q0的十进制波形图。

四、实验报告:

实验题目:数字电路小系统设计

1. 设计报告:

1)目的、任务及要求;

2)电路组成及工作原理。

2.调试报告:

1)所用仪器及实验器材;

2)实验电路管脚连线图;

3)调试方法及步骤(单元调试,总体联调);

4)脉冲波形产生电路参数(R1、R2、C)计算及元件选取;

5)RC的不同组合与频率的关系;

6)正确记录并分析如下各点波形:

(1)用示波器测量脉冲波形产生电路中,电容C上的充、放电电压V c波形与输出电压V o波形之间的相位关系,正确标出波形幅值、周期和脉宽;并将测量得到的实验数据与理论计算值比较,分析误差。

(2)用示波器观测计数器CP与各Q端波形的相位关系,即从0000状态开始,画出CP与Q3、Q2、Q1、Q0的十进制波形图。

3.总结报告:

1)总结单元电路调试、总体电路联调方法;

2)在不损坏元器件且学有余力的情况下,调试中同学可以互设故障,相互排除,交流讨论,激发学习兴趣,提高分析和解决实际问题的能力,把所得收获充实到报告中。

3)附上实验原始记录,写出存在问题和建议。

五、实验设备

双踪示波器、函数发生器、数字学习机、开放实验面包板、万用表、双路直流稳压电源。

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告 班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交. 文件命名规则如“通1_王五_学号” 一、实验目的 1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使 用方法。 2. 掌握用中规模继承电路构成逻辑电路的设计方法。 3. 了解EDA软件平台Quartus II的使用方法及主要功能。 二、预习要求 1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。 2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。 3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。 三、实验基本原理 1.译码器 译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用译码器和显示译码器。通用译码器又包括变量译码器和代码变换译码器。 变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。 这种译码器可称为唯一地址译码器。如3线—8线、4线—16线译码器等。 显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。如BCD-七段显示译码器等。 2.数据选择器 数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。一般数据选择器有n 个地址输入端,2n错误!未找到引用源。个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。 3.计数器 计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。 74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。 四、实验内容

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

相关文档
最新文档