华中科技大学计算机原理实验报告

华中科技大学计算机原理实验报告
华中科技大学计算机原理实验报告

-电气学科大类

《计算机原理与应用实验》课程

实验报告

电气与电子工程学院

2012级

姓名:

学号:

专业班号:

日期:2014年1月7日

实验成绩:

评阅人:

实验评分表

目录

实验评分表 ........................................................................................................... I 实验一:EMU8086软件安装与使用 .. (1)

一、实验目的 (1)

二、实验设备 (1)

三、实验任务 (1)

四、实验原理 (1)

五、实验内容 (1)

六、实验过程及结果 (2)

七、实验感想 (6)

八、参考文献 (6)

实验二:汇编语言实例讲解与程序编写 (7)

一、实验目的 (7)

二、实验设备 (7)

三、实验任务 (7)

四、实验原理 (7)

五、实验内容 (8)

六、实验过程及结果 (9)

七、实验感想 (20)

八、参考文献 (20)

实验三:基于PROTEUS软件的8086最小系统硬件设计 (21)

一、实验目的 (21)

二、实验设备 (21)

三、实验任务 (21)

四、实验原理 (21)

五、实验内容 (23)

六、实验过程及结果 (23)

七、实验感想 (27)

八、参考文献 (28)

实验四:基于8086最小系统的汇编程序设计 (29)

一、实验目的 (29)

二、实验设备 (29)

三、实验任务 (29)

四、实验原理 (29)

五、实验内容 (30)

六、实验过程及结果 (31)

七、实验感想 (34)

八、参考文献 (35)

实验五:8255并行接口实验(演示实验) (36)

一、实验目的 (36)

二、实验设备 (36)

三、实验任务 (36)

四、实验原理 (36)

五、实验内容 (37)

六、实验过程及结果 (38)

七、实验感想 (39)

八、参考文献 (39)

实验六:工频测频接口电路设计与实现 (41)

一、实验目的 (41)

二、实验设备 (41)

三、实验任务 (41)

四、实验原理 (41)

五、实验内容 (44)

六、实验过程及结果 (45)

七、实验感想 (46)

八、参考文献 (46)

实验一:EMU8086软件安装与使用

一、实验目的

通过本实验学习EMU8086软件的安装与使用。

二、实验设备

PC微机一台,Emu8086仿真软件

三、实验任务

1. 安装Emu8086仿真软件。

2. 利用Emu8086仿真软件编程。

四、实验原理

Emu8086仿真软件就是在Windows下的一种仿真软件,它可以仿真模拟8086的程序运行,并且可以直观地观察CPU寄存器的变化情况。

Emu8086 - Microprocessor Emulator结合了一个先进的原始编辑器、组译器、反组译器、具除错功能的软件模拟工具(虚拟PC),还有一个循序渐进的指导工具。这对刚开始学组合语言的人会是一个很有用的工具。它会在模拟器中一步一步的编译程序码并执行,视觉化的工作环境让它更容易使用。你可以在程序执行当中检视暂存器、旗标以及记忆体。模拟器会在虚拟PC 中执行程序,这可以隔绝你的程序,避免它去存取实际硬体,像硬碟、记忆体,而在虚拟机器上执行组合程序,这可以让除错变得更加容易。这个软件完全相容於Intel 的下一代处理器,包括了Pentium II、Pentium 4,而相信Pentium 5 也会继续支援8086 的。这种现象让8086 程序码的可携性相当高,它可以同时在老机器以及现代的电脑是执行,8086 的另一个优势是它的指令比较小且相当容易学习。

五、实验内容

安装Emu8086仿真软件,熟悉菜单栏,工具栏的每个选项的作用,按照操作流程完成程序的编译、链接,并对编辑窗口中所编写的简单汇编程序进行仿真调试,最后能够输出正确结果。

六、实验过程及结果

1. EMU8086安装。

2. EMU8086使用,安装完毕,得到窗口如下图1-1:

图1-1 Emu进入主界面

3. 操作流程

(1)file->new->empty workplace,编辑程序,如下图1-2:

图1-2 Emu程序编辑界面

(2) file->save,保存程序(**.asm格式),完成程序的编辑.

(3) assmbler->compile,完成程序的编译和链接,如下图1-3:

图1-3 Emu程序编译完成界面

4. emulator->show emulator,开始仿真调试,如下图1-4:

图1-4 Emu程序仿真调试界面

5. 左键点击run运行程序,结果如图1-5:

图1-5 Emu程序仿真调试结果界面

七、实验感想

在这次实验中,初步了解了8086仿真软件,对上课的一些知识有了更深刻的认识,知道其是如何工作的。利用软件完成了几个实验,收获很多。

八、参考文献

[1]李继灿,谭浩强.微机原理与接口技术.北京:清华大学出版社

[2]王爽.汇编语言.北京:清华大学出版社

[3]沈美明,温冬婵.汇编语言程序设计.北京:机械工业出版社

[4]许立梓.微型计算机原理及应用.北京:机械工业出版社

计算机组成原理实验报告

福建农林大学计算机与信息学院信息工程类实验报告系:计算机科学与技术专业:计算机科学与技术年级: 09级 姓名:张文绮学号: 091150022 实验课程:计算机组成原理 实验室号:___田405 实验设备号: 43 实验时间:2010.12.19 指导教师签字:成绩: 实验一算术逻辑运算实验 1.实验目的和要求 1. 熟悉简单运算器的数据传送通路; 2. 验证4位运算功能发生器功能(74LS181)的组合功能。 2.实验原理 实验中所用到的运算器数据通路如图1-1所示。其中运算器由两片74181

以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图1-2中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT 的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZYE1603B计算机组成原理教学实验系统一台,排线若干。 4.操作方法与实验步骤

计算机组成原理上机实验报告

《计算机组成原理实验》课程实验报告 实验题目组成原理上机实验 班级1237-小 姓名 学号 时间2014年5月 成绩

实验一基本运算器实验 1.实验目的 (1)了解运算器的组成原理 (2)掌握运算器的工作原理 2.实验内容 输入数据,根据运算器逻辑功能表1-1进行逻辑、移位、算术运算,将运算结果填入表1-2。 表 1-1运算器逻辑功能表 运算类 A B S3 S2 S1 S0 CN 结果 逻辑运算65 A7 0 0 0 0 X F=( 65 ) FC=( ) FZ=( ) 65 A7 0 0 0 1 X F=( A7 ) FC=( ) FZ=( ) 0 0 1 0 X F=( ) FC=( ) FZ=( ) 0 0 1 1 X F=( ) FC=( ) FZ=( ) 0 1 0 0 X F=( ) FC=( ) FZ=( ) 移位运算0 1 0 1 X F=( ) FC=( ) FZ=( ) 0 1 1 0 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 0 1 1 1 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 算术运算 1 0 0 0 X F=( ) FC=( ) FZ=( ) 1 0 0 1 X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 1 X F=( ) FC=( ) FZ=( ) 1 1 0 0 X F=( ) FC=( ) FZ=( ) 1 1 0 1 X F=( ) FC=( ) FZ=( ) 表1-2运算结果表

计算机原理与汇编语言—实验报告1,2,3

实验1: 循环接收用户按键输入,将用户输入的大字母原样输出,将用户输入的小写字母转换为小写字母再输出,用户若输入数字0则退出程序,其它情况输出“error!” 实验程序代码 str db 'error!$' data ends stack segment para stack 'stack' db 100 dup(?) stack ends code segment assume ds:data,cs:code,ss:stack start:mov ax,data mov ds,ax again:mov ah,01h int 21h cmp al,'0' je next cmp al,'A' jb error ;小于A的出错 cmp al,'Z' jbe print1 ;在A,Z之间的输出print1 cmp al,'a' jb error ;在Z(41hH)和a(61H)之间的出错 cmp al,'z' jbe print2 ;在a,z之间的输出print2 jmp error print1: mov dl,al mov ah,02h int 21h jmp again ;循环输入,again print2:mov dl,al sub dl,20h mov ah,02h

int 21h jmp again ;循环输入,again error :mov dx,offset str mov ah,09h int 21h next:mov ah,4ch int 21h code ends end start 实验截图 实验总结 实践。 第一次真实的体会到汇编语言的特点,以及和C++语言的区别。 实验2: 从键盘接收用户输入的一个正整数(0-32767之间),输出该数字的所有因子。

计算机组成原理实验报告

实验一8位程序计数器PC[7:0]的设计 实验要求: 1.分别用图形方式和V erilog HDL语言设计8位程序计数器,计数器带有复位,计数,转移功能。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用图形方式设计实现8位程序计数器,用到了两个74LS161四位十六进制计数器,主要步骤是两个四位十六进制计数器的串联,低四位计数器的进位端RCO连到高四位计数器的进位使能端ENT,然后连上reset、clk、ir[7:0]、t[1:0]、pc[7:0]、rco等输入输出信号,最后加上转移控制逻辑即可。注意两个十六进制计数器是同步的,具体参见PC_8bit.gdf文件。 2.编译通过,建立波形仿真文件,设置输入信号参数。注意在一张图中同时实现复位(reset低位有效)、计数、转移功能,最后加上一些文字注释即可,具体参见PC_8bit.scf文件。 3.用V erilog HDL语言设计实现8位程序计数器。在已经实现.gdf文件的基础上使用库函数形式是很容易编写出.v文件的,不过学生选择了行为描述方式实现,因为后者更具有通用性,依次实现8位程序计数器的复位、计数、转移功能即可,具体参见PC_8bit.v文件。 4.编译仿真类似上述步骤2。 实验小结: 1.这是计算机组成原理的第一个实验,比较简单,按照实验要求即可完成实验。通果这次实验,我对Max+Plus软件的使用方法和V erilog HDL语言编程复习了一遍,为后面的实验打好基础。 实验二CPU运行时序逻辑的设计 实验要求: 1.用V erilog HDL 语言设计三周期时序逻辑电路,要求带复位功能,t[2:0]在非法错误状态下能自动恢复。(比如说110恢复到001)。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用V erilog HDL 语言设计实现带复位和纠错功能的三周期时序逻辑电路。输入clk外部时钟信号和reset复位信号(低位有效),输出ck内部时钟信号和三周期信号t[2:0]。利用两级3位移位式分频逻辑实现,具体参见cycle_3.v文件。 2.编译通过,建立波形仿真文件,设置clk外部时钟信号和reset复位信号,Simulate 即可输出实验要求中显示的波形。 实验小结: 1.刚做这个实验的时候不知道CPU运行时序逻辑设计的真实用途,在进一步学习了计算机组成原理的理论知识,做cpu4实验后才知道是用来由外部时钟信号clk产生内部时钟信号ck以及三周期信号t[2:0]的。刚完成本次实验的时候未添加三周期信号t[2:0]的自动功能,后来完成cpu4后补上了。 实验三静态存储器的设计与读写验证 实验要求: 1.设计一个SRAM存储器,地址和数据都是8位,存储容量是256个字节。 2.采用异步的时序逻辑设计方式,数据是双向的,输入输出不寄存,存储器的地址也不寄存。 3.具体要求参见1_部件实验内容.doc说明文件。 实验实现:

计算机原理实验二实验报告

实验二四则运算 一、实验目的 1. 巩固DEBUG及宏汇编的使用。 2. 加深对运算指令的理解。 3. 注意标志寄存器的变化。 二、实验内容 1. 16位二进制加减法运算 1)内容: 在数据区中定义两个16位的二进制数(16位的二进制数在内存中存放时占2个字节,低位字节数据存在较低地址单元;高位字节数据存在高地址单元。这一原 则简称为“低字节在前、高字节在后”)。用8位加减运算指令分别计算这这两个数 的和、差(计算时应先算低字节、后算高字节,计算高字节时还应考虑低字节的进 位),并将结果也存入数据区原始数据的后面。程序结束后返回DOS。 2)思路: 首先在数据段定义两个16位的二进制数。 然后循环两次分别完成低位、高位的加(减法),注意在第一次循环前应该清 空进位标志CF,每次使用带进位的加法(减法)。加完(减完)后将结果送到 内存中去。 3)源程序(重要部分): a)数据段: b)核心源码:

4)结果: 338E+0B34=3EC2;338E-0B34=285A 修改数据: 执行结果: 2. 16位压缩BCD码加减运算 1)内容:修改程序实现16位的压缩BCD码的加减运算。 2)思路: 首先在数据段定义两个4位的压缩性BCD码(表面上是四位十六进制数)。 然后循环两次分别完成低两位、高两位的加(减法),注意在第一次循环前应该清空进位标志CF,每次使用带进位的加法(减法),每次加完后用DAA调整(每次减完用DAS调整),然后将结果送到内存中去。 3)源程序(重要部分): a)数据段:

b)核心源码: 4)结果: 3382+4621=8003;3382-4621=8761 修改数据: 执行结果: 3. 重复累加的方式计算两位BCD码数乘以两位BCD码数的积 1)内容:用重复累加的方法计算两位BCD码数乘以两位BCD码数的积。被乘 数和乘数都用压缩的BCD码形式存放于数据区,各占一单元。乘积顺序存放 在后两个单元中(低位在前,高位在后)。

计算机组成原理实验报告3-数据输出实验 移位门实验

2.3数据输出实验/移位门实验 一.实验要求:利用CPTH 实验仪的开关做为控制信号,将指定寄存器的内容读到数据总线DBUS上。 二.实验目的:1、了解模型机中多寄存器接数据总线的实现原理。 2、了解运算器中移位功能的实现方法。 三.实验电路:CPTH 中有7 个寄存器可以向数据总线输出数据,但在某一特定时刻只能有一个寄存器输出数据,由X2,X1,X0决定那一个寄存器输出数据。 数据输出选择器原理图 连接线表

四.实验数据及步骤: 实验1:数据输出实验 置下表的控制信号,检验输出结果 实验2:移位实验

ALU直接输出和零标志位产生原理图 ALU左移输出原理图 ALU右移输出原理图 直通门将运算器的结果不移位送总线。当X2X1X0=100 时运算器结果通过直通门送到数据总线。同时,直通门上还有判0 电路,当运算器的结果为全0 时,Z=1,右移门将运算器的结果右移一位送总线。当X2X1X0=101 时运算器结果通过右通门送到数据总线。具体内部连接是: Cy 与CN →DBUS7 ALU7→DBUS6 ALU6→DBUS5 ALU5→DBUS4 ALU4 →DBUS3

ALU3 →DBUS2 ALU2 →DBUS1 ALU1 →DBUS0 Cy 与CN →DBUS7 当不带进位移位时(CN=0): 0 →DBUS7 当带进位移位时(CN=1): Cy →DBUS7 左移门将运算器的结果左移一位送总线。当X2X1X0=110 时运算器结果通过左通门送到数据总线。具体连线是: ALU6 →DBUS7 ALU5→DBUS6 ALU4→DBUS5 ALU3→DBUS4 ALU2→DBUS3 ALU1→DBUS2 ALU0→DBUS1 当不带进位移位时(CN=0): 0 →DBUS0 当带进位移位时(CN=1): Cy→DBUS0 将55H写入A寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H 置控制信号为: 按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。 S2S1S0=111 时运算器结果为寄存器A内容

计算机组成原理实验报告三

实验三总线控制实验 一、实验目的 熟悉和了解地址总线的组成结构、地址来源及集合原理。掌握程序段与数据段的寻址规则及地址部件的运用技巧。 二、实验原理 地址总线的作用是传递地址信息,输出当前数据总线上发送信息的源地址或接收信息的目的地址。如下图所示本系统设有内存与外设两条地址总线,通过PC计数器提供内存(程序存储器)地址,并由地址寄存器AR传递内存(数据存储器)地址与外设地址。另外堆栈寄存器SP亦可视为地址寄存器,它的堆顶指向数据与程序指针存取地址。 图2-3-6地址总线组成通路 1.11位程序地址 本系统从提高信息存取效率的角度设计主内存地址通路,按现代计算机体系结构中最为典型的分段存取理念合成主存及外设地址总线addr,在指令操作“时段”(取操作码与取操作数),以当前程序指针PC为址,遇主存数据传递“时段”以当前数据指针AR为址。addr 地址的合成通路见图2-3-6。其寻址范围为0~7FFh。 2.16位数据地址 本系统数据指针由地址锁存器AR直接提供,当LDAR=1时,在DRCK下降沿把数据总线打入AR。其寻址范围为0~FFFFh,可达64KB。 三、实验内容 表2.3.7PC程序计数器目标编码 目标部件定义按钮功能说明 E/M IP DRCK DRCK下降沿打

图2-3-7所示的PC框由3片161构成按字方式 寻址的11位PC计数器,计数器的输入端与总线相 连构成置数通路,计数器的输出端途经三态门缓冲 分离为两条通路,其一与总线相连构成可读通路, 其二与地址寄存器(数据)集合组成主存EM地址 总线。它的清零端由中央外理器单元直控,上电时 PC计数器自动淸零,实验中按复位钮亦可实现计数器的手动淸零。 手控状态,本实验由表2.6.1定义的目的编码控制PC计数器的预置与加1操作,并以准双向I/O部件的S10~S0为计数器预置源。当IP=1时按单拍按钮,遇E/M=1在脉冲下降沿把S10~S0的内容装入PC计数器;遇E/M=0在脉冲下降沿PC计数器加1。 四、实验过程 1.程序计数器PC的写入、读出与加1 PC程序计数器的写入 通过“I/O单元”开关向程序计数器PC置数,操作步骤如下: 数据来源I/O单元 置数 I/O=0100h 程序计数器 PC=0100h 关闭PC装载 读PC DBUS=0100h X2 X1 X0=011 XP W=11E/M(K23)=1 LDPC(K22)=1 按[单拍] E/M(K23)=0 LDPC(K22)=0 X2 X1 X0=111 XP W=11 PC程序计数器的读出 在PC置数操作完成后,按上流程中后两步的要求,关闭PC写使能(K23、K22=00),打开PC输出三态门(K10~K6=11111),数据总线单元应显示的PC指针为0100h。 PC程序计数器加1 在保持PC置数与读出流程的状态下,令K22=1,按【单拍】按钮,在DRCK节拍的下降沿PC计数器加1并送数据总线,PC程序计数器和数据总线单元的显示器应显示0101h。继续按【单拍】按钮,观察PC与数据总线的内容。 2、地址寄存器实验: 地址寄存器AR打入 说明:“↓”表示下降沿有效

计算机组成原理实验报告1

组成原理实验报告 Computer Organization Lab Reports ______________________________________________________________________________ 班级: ___ 姓名:__ _ 学号:_____ 实验日期:_____________ 学院: ___ _ 专业:_ _____ 实验顺序:_______ 原创:__ _____ 实验名称:_ ____ 实验分数:_______ 考评日期:________ 指导教师: ______________________________________________________________________________ 一.实验目的 1. 熟悉Dais-CMX16+达爱思教仪的各部分功能和使用方法。 2. 掌握十六位机字与字节运算的数据传输格式,验证运算功能发生器及进位控制的组合功能。了解运算器的工作原理。 3. 完成算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。 _________________________________________________________________ 二.实验环境 Dais-CMX16+达爱思教仪 ______________________________________________________________________________三.实验原理 实验中所用的运算器数据通路如图1-1所示。ALU运算器由CPLD描述。运算器的输出经过2片74LS245三态门与数据总线相连,2个运算寄存器AX、BX的数据输入端分别由4个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。 图1-1运算器数据通路 图1-1中,AXW、BXW在“搭接态”由实验连接对应的二进制开关控制,“0”有效,通过【单拍】按钮产生的负脉冲把总线上的数据打入,实现AXW、BXW写入操作。 ______________________________________________________________________________四.实验步骤及结果分析

计算机组成原理实验报告三:微程序控制器实验

微程序控制器实验报告 一、实验目的 (1)掌握微程序控制器的功能、组成知识。 (2)掌握为程序的编制、写入、观察微程序的运行 二、实验设备: PC机一台,TD-CM3+实验系统一套 三、实验原理: 微程序控制器的基本任务是完成当前指令的翻译和执行,即将当前指令的功能转换成可以控制的硬件逻辑部件的为命令序列,完成数据传送和个汇总处理操作,他的执行方法是将控制各部件的微命令的集合进行编码,即将微命令的集合仿照及其指令一眼,用数字代码的形式表示,这种表示陈伟微指令。这样就可以用一个微指令序列表示一条机器指令,这种为指令序列称作为程序。微程序存储在一种专用的存储器中,成为控制储存器 四、实验步骤 1.对为控制器进行读写操作: (1)手动读写: ①按图连线:

②将MC单元编程开关置为“编程”档,时序单元状态开关置为“单步”档,ADDR 单元状态开关置为“置数”档 ③使用ADDR单元的低六位SA5…SA0给出微地址MA5…MA0,微地址可以通过MC 单元的MA5…MA0微地址灯显示 ④CON单元SD27…SD20,SD17…SD10,SD07…SD00开关上置24位微代码,待写入值由MC单元的M23…M024位LED灯显示 ⑤启动时序电路(按动一次TS按钮),即将微代码写入到E2PROM2816的相应地址对应单元中 ⑥重复③④⑤三步,将下图微代码写入2816芯片中 二进制代码表 (2)联机读写: ①将微程序写入文件,联机软件提供了微程序下载功能,以代替手动读写微控制器,但微程序得以指定的格式写入 本次试验的微程序如下: ://************************************************************// :// // :// 微控器实验指令文件 // :// // ://************************************************************// ://***************Start Of MicroController Data****************//

计算机原理实验报告三、四、五

成绩:计算机原理实验室实验报告 课程:计算机组成原理 姓名:李昂 专业:软件工程 学号:132056113 日期:2015年12月8日 太原工业学院 计算机工程系

实验三:存储器实验 实验环境PC机+Win 2003+emu8086+proteus仿真器实验日期2015.12 一.实验内容 .基本要求: 1.理解计算机存储子系统 2.设计并验证计算机主存系统 扩展要求: 分别用IO内存统一编址和独立编址增加4K的IO地址

二.理论分析或算法分析 利用74LS245、74LS373、6116等芯片实现数据的存储。 74LS245原理:当片选端/CE低电平有效时,DIR=“0”,信号由B向A传输;(接收)DIR=“1”,信号由A向B传输;(发送)当CE为高电平时,A、B均为高阻态。 由于P2口始终输出地址的高8位,接口时74LS245的三态控制端1G和2G接地,P2口与驱动器输入线对应相连。P0口与74LS245输入端相连,E端接地,保证数据线畅通。8051的/RD和/PSEN相与后接DIR,使得RD和PSEN有效时,74LS245输入 (P0.1←D1),其它时间处于输出(P0.1→D1)。 74LS373原理:373的输出端Q0~Q7可直接与总线相连。 当三态允许控制端OE为低电平时,Q0~Q7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,Q0~Q7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。 当锁存允许端LE为高电平时,Q随数据D而变。当LE为低电平时,D被锁存在已建立的数据电平。当LE端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。 引出端:D0~D7数据输入端 OE三态允许控制端(低电平有效) Q0~Q7输出端 6116原理:6116有11条地址线(A0~A10)、8条数据线(I/O1~I/O8)、1条电源线、1条接地线GND和3条控制线——片选信号CE、写允许信号WE和输出允许信号OE(3条控制线低电平有效)。这3个控制信号的组合控制HM6116芯片的工作方式,如下表: CE OE WE 方式I/O引脚 H X X 待用(未选中)高阻 L L H 读出Dout

计算机组成原理实验报告3--微程序控制器实验

实验三微程序控制器实验 一.实验目的与要求: 实验目的: 1.理解时序产生器的原理,了解时钟和时序信号的波形; 2.掌握微程序控制器的功能,组成知识; 3.掌握微指令格式和各字段功能; 4.掌握微程序的编制,写入,观察微程序的运行,学习基本指令的执行流程。 实验要求: 1.实验前,要求做好实验预习,并复习已经学过的控制信号的作用; 2.按练习一要求完成测量波形的操作,画出TS1,TS2,TS3,TS4的波形,并测出所用的脉冲 Ф周期。按练习二的要求输入微指令的二进制代码表,并单步运行五条机器指令。 二.实验方案: 按实验图在实验仪上接好线后,仔细检查无误后可接通电源。 1.练习一:用联机软件的逻辑示波器观测时序信号,测量Ф,TS1,TS2,TS3,TS4信号的 方法如下: (1) TATE UNIT 中STOP开关置为“RUN”状态(向上拨),STEP开关置为“EXEC”状态(向上拨)。 (2) 将SWITCH UNIT 中右下角CLR开关置为“1”(向上拨)。 (3) 按动“START”按钮,即可产生连续脉冲。 (4)调试”菜单下的“显示逻辑示波器窗口,即可出现测量波形的画面。 (5)探头一端接实验仪左上角的CH1,另一端接STATE UNIT中的Ф插座,即可测出时钟Ф的波形。 (6)探头一端接实验仪左上角的CH2,另一端接STATE UNIT中的TS1插座,即可测出TS1的波形; (7)探头一端接实验仪左上角的CH1,另一端接STATE UNIT中的TS2插座,即可测出TS2的波形。 (8)将红色探头一端接实验仪左上角的CH1,另一端接STATE UNIT中的TS3插座,即可测出TS3的波形。 (9)将红色探头一端接实验仪左上角的CH1,另一端接STATE UNIT中的TS4插座,即可测出TS4的波形。 2.观察微程序控制器的工作原理: ①关掉实验仪电源,拔掉前面测时序信号的接线; ②编程写入E2PROM 2816 A.将编程开关(MJ20)置为PROM(编程)状态; B.将实验板上STATE UNIT 中的STEP置为STEP状态,STOP置为RUN状态, SWITCH UNIT中CLR开关置为1状态; C.在右上角的SWITCH UNIT中UA5-UA0开关上置表3.2中某个要写的微地址;

计算机组成原理实验报告

计算机组成原理实验报告 姓名: 专业:计算机科学与技术 学号:

计算机组成原理实验(一) 实验题目:时标系统的设置和组合 成绩: 一、实验目的 1、了解时标系统的作用 2、会设计、组装简单的时标发生器 二、实验内容 参照时标系统的设计方法,用组合逻辑方法设计一个简单的节拍脉冲发生器,产生图1-6所示的节拍脉冲,并用单脉冲验证设计的正确性。在实验报告中画出完整电路,写出1W 、0W 和1N 的表达式。 图1-6 简单的节拍脉冲发生器一周期的波形 设计提示: 1、由波形图求出节拍脉冲1W 和0W 的表达式,进而组合成1N 的表达式。 2、注意节拍电平1T 和0T 的翻转时刻应在0M 下降沿与M 的上升沿同时出现的时刻。 3、注意D 触发器的触发翻转要求。 三、实验仪器及器材 1、计算机组成原理实验台和+5V 直流稳压电源 2、集成电路由附录A “集成电路清单”内选用 四、实验电路原理(实验电路原理图) 时标系统主要由时钟脉冲发生器、启停电路和节拍脉冲发生器三部分组成成,结构如图1-1所示。

图1-1 时标系统组成 1、时钟脉冲发生器 主要由振荡电路、分频电路组成,其作用是产生一定频率的时钟脉冲,作为计算机中基准时钟信号。如图1-2所示。 图1-2 时钟脉冲发生器组成 2、启停电路 计算机是靠非常严格的节拍脉冲,按时间的先后次序一步一步地控制各部件工作的,所以,机器启停的标志是有无节拍脉冲,而控制节拍脉冲按一定的时序发生和停止,不能简单地用电源开关来实现。如图1-3所示。 图1-3 简单的启停电路 为了使机器可靠地工作,要求启停电路在机器启动或停机时,保证每次从规定的第一个脉冲开始启动,到最后一个脉冲结束才停机,并且必须保证第一个和最后一个脉冲的波形完整。如图1-4所示。 图1-4 利用维持阻塞原理的启停电路

计算机原理实验三实验报告

实验三子程序及宏的使用 一、实验目的 1. 练习使用子程序及宏。 2. 练习使用功能调用(INT 21H)中关于程序终止、字符输入及字符输出部分。 二、实验内容 1. 从键盘输入10个一位或两位的十进制数,用压缩BCD码形式存入数据段1000H起的单元中。输入完成后重新将十个数字输出在屏幕上,每个数在屏幕上显示成一行,共10行。 2. 编程从上面十个数中找出最大值,显示在屏幕上。要求合理编写算法,在各种输入情况下都能找出最大值。 3. 将十个数按从大到小的顺序排列在数据区中。 三、源代码

四、思考题 (1)若处理的是十六进制无符号数,程序应如何修改? 判断字符类型是否数字0~F时,判断字符是否在30H~39H或者40H~46H之间。 (2)若处理的是带符号数,程序又应作何修改? 除了上述判断字符类型是否合法外,在比较大小时,要使用带符号数的条件转移指令。 五、实验总结 1. 编写和使用了子程序及宏。它们之间的区别有: 1)在源程序中,通过书写宏名来引用宏,而子程序是通过CALL指令来调用; 2)汇编程序对宏通过宏扩展来加入其定义体,宏引用多少次,就相应扩展多少次,所以,引用宏不会缩短目标程序;而子程序代码在目标程序中只出现一次,调用子程序

是执行同一程序段,因此,目标程序也得到相应的简化; 3)宏引用时,参数是通过“实参”替换“形参”的方式来实现传递的,参数形式灵活多样,而子程序调用时,参数是通过寄存器、堆栈或约定存储单元进行传递的; 4)宏引用语句扩展后,目标程序中就不再有宏引用语句,运行时,不会有额外的时间开销,而子程序的调用在目标程序中仍存在,子程序的调用和返回均需要时间。 2. 练习使用功能调用(INT 21H)中关于程序终止、字符输入及字符输出部分。 3. 通过修改简化了程序的代码,通过调试完善了程序的功能。 4. 使用汇编语言编写较复杂程序时,应该先整理思路,画好流程图,然后通过此框架开始编写底层的一些宏和子程序,编好之后,再编写主程序,通过调用子程序实现具体的功能。 5. 调试时,通过断点和单步可观察数据区的内容改变和标志位的改变,以此判断BUG 所在位置。 6. 子程序和宏中可能会覆盖某个寄存器中的内容,在没有把握的情况下应该先PUSH,结束时再POP出来,以免寄存器中的内容在不经意之间被改变,造成错误,有时候也造成调试的困难。 7. 使用转移指令时应该清楚程序指令到此处时针对不同的情况分别跳转到哪里,以及接下来执行什么内容,执行的内容是否符合预期。最好为较大的子程序或者宏编写统一的出口,这样结构比较清晰。

计算机组成原理实验报告

实验报告 专业班级 物联网1302 姓 名 何孔阳 机器号: 58 学 号 20133740 E-mail 745072328@https://www.360docs.net/doc/504229212.html, 分步成绩 实验表现 实验报告 总成绩 实验一 寄存器及数据输出实验 一、实验目的 1、掌握寄存器器件的工作原理,了解COP2000模型机所用主要寄存器的位置、作用、数据通路及控制信号; 2、掌握寄存器组的工作原理; 3、了解计算机中多个寄存器不能同时向内部数据总线送出数据的事实——COP2000实验仪选择某个寄存器(允许其向DBUS 上输出数据)的方法。 二、实验原理 (一)寄存器 COP2000用74HC574来构成寄存器,74HC574的功能如下: 1,在CLK 的上升沿将输入端的数据打入到8个触发器中。 2,当OC=1时触发器的输出被关闭,当OC=0时触发器输出数据。 74HC574工作波形图 1、累加器A 、暂存器W 实验 计算机组成原理 机

2、地址寄存器MAR、堆栈寄存器ST、输出寄存器OUT实验 寄存器MAR原理图 寄存器ST原理图

寄存器OUT原理图 (二)寄存器组 寄存器组R原理图 74HC139含有两个独立的2—4译码器,其引脚与内部逻辑、功能表见实验指导书。 (三)数据输出实验 COP2000实验仪中有7个寄存器可以向DBUS输出数据,但在某一特定时刻只能有一个寄存器输出数据。由X0、X1、X2控制信号决定那一个寄存器向数据总线输出数据,而这三个控制信号为74HC138译码器的三个选择输入端。74HC138用于选片。 数据输出选择器原理图

X2 X1 X0 输出寄存器 0 0 0 IN-OE 外部中断 0 0 1 IA-OE 中断向量 0 1 0 ST-OE 堆栈寄存器 0 1 1 PC-OE PC寄存器 1 0 0 D-OE 直通门 1 0 1 R-OE 右移门 1 1 0 L-OE 左移门 1 1 1 没有输出 三、实验内容 1、A、W的写入 按下表连线 连接信号孔接入孔 1 J1座J3座 2 AEN K0 3 WEN K1 4 ALUCK CLOCK (1)将数据写入A寄存器 用手动开关K23—K16进行DBUS[7:0]的数据输入 K23 K22 K21 K20 K19 K18 K17 K16 0 1 0 1 0 1 0 1 置控制信号: K0(AEN)K1(WEN) 0 1 给CLOCK跳变信号:按住CLOCK脉冲键,注意哪个寄存器的黄色指示灯亮起,就是你所选的要写入的寄存器。放开CLOCK键,一个上升沿即产生,观察寄存器写入的值。 (2) 将数据写入W寄存器 置数据: K23 K22 K21 K20 K19 K18 K17 K16 0 1 0 1 0 1 0 1 置控制信号: K0(AEN)K1(WEN) 1 0 给CLOCK跳变信号,观察寄存器写入的值。 2、R?的写入与读出 按下表连接线 连接信号孔接入孔 1 J1座J3座 2 RRD K11 3 RWR K10 4 SB K1 5 SA K0 6 RCK CLOCK

计算机组成原理存储器实验报告

福建农林大学计算机与信息学院信息工程类实验报告 级 2007专业:系:年级:计算机系计算机科学与技术 计算机组成原理实验课程:姓名:学号:日月11 2009实验设备号: 1 实验时间:年5实验室号:__ 成绩:指导教师签字: 存储器实验实验二 .实验目的和要求1RAM工作特性。1.掌握静态随机存储器RAM的数据读写方法。2.掌握静态随机存储器 2.实验原理实验中的双端口静态存储所示,2-1实验所用的半导体双 端口静态存储器电路原理如图)和控-I/O-A)、数据线(I/O器的左端口和右端口,它们分别具有各自独立的地址线(A7900结构图。在实验系统的大多数实中的7130)。它的结构参考附录制线(R/W,CE,OE,BUSY1控制线,使用方法与通用的单端口静态存该芯片仅使用了右端口的数据线、地址线、验中,右端口数本节实验中左、储器相同;在做与流水相关的实验中同时用到了它的左、右端口。LI08LI01—)给出。地址灯据线接至数据总线,左、右端口地址由地址锁存器(74LS273)连至数据总74LS245与地址总线相连,显示地址内容。输入单元的数据开关经一三态门(线,分别给出地址和数据。 2-1 图存储器实验原理 地址总线为8位,接入IDT7130的地址AL7—AL0与AR0—AR7,将IDT7130的高两位AR8-AR9接地,所以其实际容量为256字节。IDT7130两个端口分别有三个独立的控制线,如右边有:CER(右端口片选线)、OER(右端口读线)、R/WR(右端口写线)。本实验中将左、右

端口的读线OER常接地,在此情况下,当CER=0、R/WR=0时进行右端口写操作,CER=0、R/WR=1时进行右端口读操作,其写时间与T3脉冲宽度一致。原理图中右端口的地址线AR8—AR9接地,其访问实际容量为256字节。同时由于左端口的写信号R/WL常接地=高电平,所以左端口的写功能被封锁了,故实验时输入数据从右端口写入,从左端口读出。实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插针中,其它电平控制信号由开关单元的二进制开关给出,其中SW_G为低电平有效,LDAR为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZY15Comp12BB计算机组成原理教学实验箱一台,排线若干。 4.操作方法与实验步骤 1.形成时钟脉冲信号T3,具体接线方法和操作步骤如下: ①将S信号单元中的TS3和T3用排线相连。 ②将控制台单元中的两个二进制开关“SP03”设置为“STEP”状态、“SP04”设置为“RUN”状态(当“SP03”开关设置为“RUN”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3的输出为连续的方波信号。当“SP03”开关设置为“STEP”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。) 2.按图3-2连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分) 图3-2 存储器实验接线图

计算机组成原理实验报告册

实验一监控程序与汇编实验 实验时间:第周星期年月日节实验室:实验台: (以上部分由学生填写,如有遗漏,后果由学生本人自负) 1、实验目的 1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。 2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。 3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。 2、实验平台 硬件平台:清华大学TEC-XP实验箱的MACH部分 软件平台:监控程序pcec16.exe、PC端指令集仿真软件 3、实验要求 1)学习联机使用TEC-XP 教学实验系统和仿真终端软件https://www.360docs.net/doc/504229212.html,; 2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容; 3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。 **代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩 4、操作步骤及实验内容 1)实验箱功能开关设置及联机操作: 1. 将实验箱COM1口与PC机相连; 2. 设置功能状态开关为00110; 3. 于PC端运行Pcec16.exe; 4. 按RESET,START键,若PC端出现如下输出(如图1.1所示),则操作成功; 图1.1 2)仿真软件相关操作:

1. 在项目文件夹找到tec2ksim.exe并启动; 图2.1 2. 点击文件-启动监控程序;

图2.2 4.若PC端出现如下输出(如图2.3所示),则操作成功; 图2.3 3)理解下列监控命令功能: A、U、G、R、E、D、T 1. A命令:完成指令汇编操作,把产生的指令代码放入对应的内存单元中,可连续输入。不输入指令直接回车,则结束A命令(如图3.1所示); 图3.1 2. U命令:从相应的地址反汇编15条指令,并将结果显示在终端屏幕上(如图 3.2所示);

计算机组成原理 实验报告

计算机组成原理实验报告

实验一基本运算器实验 一、实验目的 1.了解运算器的组成结构 2.掌握运算器的工作原理 3.深刻理解运算器的控制信号 二、实验设备 PC机一台、TD-CMA实验系统一套 三、实验原理 1.(思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。 ①算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 ②浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 ③通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 ④专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。 下图为运算器内部原理构造图

2.运算器的控制信号 实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 下图为ALU和外围电路的连接。图中的小方框代表排针座。

计算机组成原理实验报告

南通大学计算机科学与技术学院上机实验报告 姓名:邓晗 班级:软件工程142 一、目的及要求 1.熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法; 2.熟悉半导体存储器存储和读出数据的过程; 3.了解使用半导体存储器电路时的定时要求。 二、环境(软、硬件平台) 硬件: 计算机一台 软件: Quartus Ⅱ 2.0及以上版本 三、内容及步骤(包括程序流程及说明) 1.利用Quartus Ⅱ器件库提供的参数化存储单元lpm_rom设计一个由128╳8位的ROM(地址空间:00H~7FH)构成的只读存储器系统。 (1)设计实验电路图,在QuartusⅡ的编辑环境下,进行原理图的输入和编辑工作,要求编译通过,无错误。 (2)利用.mif文件,对ROM的存储单元00H~05H进行初始化。 (3)给定ROM存储区的地址:00H~05H,读ROM存储单元。要求通过分析仿真波形,检查数据的正确性。记录仿真波形、分析方法、分析过程和分析结果。 1.1.首先利用器件库提供的存储单元lpm_rom器件设计一个128╳8位的ROM只读存储器, 注意这里要关联mif文件; 1.2.设计的电路图如下: 给入的八位地址的最高位作为器件脉冲端的控制信号,其余七位作为ROM 的地址输入。 1.3利用.mif文件,对ROM的存储单元00H~05H进行初始化如上面的截图所示,每次在重新写入数据时都要更新重新关联文件;然后设计出仿真波形: 2.利用Quartus Ⅱ器件库提供的参数化存储单元lpm_ram_dq,设计一个由128╳8位的RAM(地址空间:80H~FFH)构成的随机存储器系统。 (1)设计实验电路图,在QuartusⅡ的编辑环境下,进行原理图的输入和编辑工作,要求编译通过,无错误。 (2)给RAM的存储单元80H~85H写入数据。要求通过分析仿真波形,检查数据的正确性。记录仿真波形、分析方法、分析过程和分析结果。

《计算机组成原理》实验报告

《计算机组成原理》实验报告 实验名称:存储器读写实验班级: 学号:姓名: 一、实验目的 1、掌握存储器的工作特征 2、熟悉静态存储器的操作过程,验证存储器的读取方法 二、实验设备 1、YY—Z02计算机组成原理实验仪一台。 2、排线若干。 3、PC微机一台。 三、实验原理 1.存储器是计算机的主要部件,用来保存程序和数据。从工作方式上分类, 其可分为易失性和非易失性存储器,易失性存储器中的数据在关电后将 不复存在,非易失性存储器中的数据在关电后不会丢失。易失性存储器 又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有 2ms,工作时需要不断更新,既不断刷新数据;静态存储器只要不断电,信息是不会丢失的。 2.静态存储器芯片6116的逻辑功能: 3.存储器实验单元电路:

存储器实验单元电路控制信号逻辑功能表: 4.存储器实验电路: 存储器读写实验需三部分电路共同完成:存储器单元、地址寄存器单元和 输入、输出单元。存储器单元以6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用是把总线上的数据送人地址寄存器,向存储器单元电路提供地址信息,输入、输出单元作用与以前 相同。

四、实验结果记录 (1)连线准备 1.连接输入、输出实验的全部连线。 2.按实验逻辑原理图连接M-W、M-R两根信号低电平有效信号线。 3.连接A7—A0 8根地址线。 4.连接B-AR正脉冲有效信号线。 (2)记录结果(包含采集结果前的动作) 地址写入数据读出数据结果说明 01H 数据的写入与读取02H 数据的写入与读取03H 数据的写入与读取04H 数据的写入与读取05H 数据的写入与读取25H 不写存储器一个随机地址 36H 数据的写入与读取 0A0H 写总线悬空时的数 据总线悬空时表示的数据是FFH,即写入的数据是11111111,所以读出结果为11111111

相关文档
最新文档