电路综合设计实验预习介绍word版本

电路综合设计实验预习介绍word版本
电路综合设计实验预习介绍word版本

电路综合设计实验预习报告

实验一、调幅发射系统实验

一、实验目的:图1为实验中的调幅发射系统结构图。通过实验了解与掌握调幅发射系统,了解与掌握LC三点式振荡器电路、三极管幅度调制电路、高频

谐振功率放大电路。

图1 调幅发射系统结构图

二、预习内容:

1、给出完整的调幅发射系统结构图。

图1仅是实验中使用较简单的发射系统,思考并给出较完善的发射系统结构。

2、LC三点式振荡器电路

本振

功率

放大

调幅

信源

图T3-1为LC 三点式振荡器电路,熟悉电路,并论述其原理。思考并回答下列问题:

A 、哪几个元件决定振荡频率?

B 、如何测量三极管5BG1的静态工作电流,如何调整5BG1的静态工作点。

C 、三极管5BG2的作用,本振信号观测点应在哪里。

D 、何为反馈系数,其在振荡电路中的物理意义是什么?

E 、变容管的特性与用途。

A 、5C6,5C7,5C4,5L2,5D2,5R4,5W1

B 、通过测量电压来测量电流,又I

C ≈I E ,所以I E =U 5R8/R 5R8。因此可以通过测量

5R8上面的电压来间接测量静态工作电流。通过调节5W2可变电阻可以调节静态工作点。为了方便起振,应将电流调节到甲类功放状态。

C 、三极管5BG2的作用是放大振荡信号,观测点是V5-1。

D 、反馈系数F (s )=反馈电压U f /输出电压U O ,表示反馈的程度,一定程度

决定反馈的正负

在振荡电路中,环路增益T (s )=开环增益A(s)*F (s )

电路起振条件为:T(s)>1

电路平衡条件为:T (s )=1

电路稳定条件为:T(s)对的导数在平衡点附近为负

F (s )在很大程度上决定了振荡电路的起振、平衡、稳定,决定了该振荡电路能否正常工作并达到期望的功能

E、变容二极管属于反向偏置二极管,改变其PN结上的反向偏压,即可PN结电容量,反向偏压越高,结电容则越少,反向偏压与结电容之间的关系是线性的。变容二极管的作用是利用PN之间电容可变的原理制成的半导体器件,在高频谐振、通信电路中作可变电容器使用,用于调频。

3、三极管幅度调制电路

图T5-4为三极管基极幅度调制电路,熟悉电路,并论述其原理。思考并回答下列问题:

A、请比较三极管基极放大电路与基极调幅电路,两者有何差异?

B、晶体管调幅电路有几种形式?基极调幅电路与集电极调幅电路的区别与特点是什么?基极调幅电路输入信号的特点是什么?

C、给出调幅波波形,何为调制系数,调制系数的意义是什么?

D、7C10、7C2、7L1的作用是什么?

E、调制器输出信号幅度调节为多少合适?

A、

B、(1)基极调幅电路(2)集电极调幅电路(3)发射极调幅电路

区别与特点:集电极调制工作在过压区,基极调制工作在欠压区。

基极调幅电路输入信号的特点:低频调制信号功率小,这使得低频放大器比较简单,但是由于工作在欠压区,集电极效率极低,不能充分利用直流电源的能量。

C、

调制系数,是在调制技术中,衡量调制深度的参数。在AM技术中,调制技术指调制信号与载波信号幅度比。

提高调制系数可提高信噪比、功率利用率。但调制系数的提高是有限的,太大将造成调制信号的失真,实际的调幅系统调制系数收小于一。

D、它们三者构成LC并联谐振回路。7C10为可变电容,用来调节谐振回路的

谐振频率。当在观测点得到,最大不失真波形时,谐振电路的谐振频率与已调波频率相同

E

4、高频谐振功率放大电路

图T2-1为高频谐振功率放大电路,熟悉电路,并论述其原理。思考并回答下列问题:

A、谐振放大电路有几种形式,比较其区别与特点,丙类功率放大电路输入信号的特点是什么?

B、如何测量电流,使用电流表应注意什么?

C、思考如何确定图T2-1高频谐振功率放大电路输入信号的幅度。

D、放大器的工作效率是如何定义的,如何测量?

A、主要有甲类、甲乙类或乙类(限于推挽电路)、丙类功放,根据功放的输

出功率和效率来确定选择哪一种。采用低电平调幅电路的系统,由于调制器输出信号为调幅波,其后的功率放大器必须是线性的(如甲类、甲乙类或乙类功放);而采用高电平调幅电路的系统,则在末级直接产生达到输出功率要求的调幅波,多以丙类放大器作为此时的末级电路。

丙类谐振功率放大器是利用选频网络作为负载回路的功率放大器,主要应用在无线电发射机中,其输入信号或是频率固定的简谐信号或是频谱宽度远小于载波频率的窄带信号。

B、实验中可选择用数字式万用表测量电流,或选择量程合适的电流表直接测

量。要注意连接方式和量程。再测电流前先不要将电流表接入电路,待电路中电流比较小(不超过60mA)时,将电流表接入电路。开始时,电流表电流很小,逐渐增大电流,至电流突然变化很大时即可。

使用电流表过程中,要注意:电流表中电流总是不能超过60mA

C

D、放大器的效率η指输出功率Po与电源供给的直流动率PE之比,通常用百

分比表示

三、给出调幅发射系统调试步骤;

●指出各单元电路的主要功能和指标,各项指标如何测量,给出测

试方案,给出仪器与实验电路连接的测试结构图。

●指出调幅发射系统的主要指标,给出调幅发射系统的调试方案与

调试步骤。

LC震荡电路连接测试:

1、给总体电路板加12V直流电压后,调节电路静态工作点:调节可变电阻5W2。

2、V5-1用示波器观测波形,波动5K1从5C7到5C11,得到合适的波形,是输出波形频率约为30M.

3、V5-1接频率计数器,调节5C4,5D2,使频率等于30M.

三极管甲类调幅电路板连接测试:

1、给电路板加12V直流电压后,调节电路静态工作点:调节可变电阻7W2。

2、将LC振荡电路板产生的输出信号接到7K1,输入高频载波。

3、在V7-2接入示波器,观测输出波形。

4、调节谐振回路;调节7C10,并同时观测波形,直到看到最大不失真波形(说明谐振回路谐振点为30M)。

5、接入调制信号,接通7K2.

6、得到并观测调幅波。

7、求调制系数;若AB分别表示已调调幅波波形垂直方向上的最大和最小长度,则调幅系数;MA=【(A-B)/(A+B)】*100%。

高频谐振功率放大回路连接调试;

1.电路板加12V的直流电压。

2.调第一级放大器;

观测点为V6-2(示波器),此时电流表不接入电路。因为直流已固定,只需调交流。

调交流;调谐振回路,调节6C5,直到波形为最大不失真(注意输出一定要大于输入的,据此验证正确性)。 3.调第一级放大器;观测点;V6-3.

将电流表接入相应点。将K6C打左边,接入电路。(注意接入之前,保证流过的电流不会太大,始终小于60MA)。

4.观测电流表示数,若小,则调节电路板2的7W2,逐渐增大电流,观查电流变化。若电流由逐步变大变为突然增大,则调试成功。

三个电路板的连接;

直流——总电路板正负级—(电路板1输出)V5-1——(电路板2高频载波输入端)7K1(电路板2的输出点)从7W2上接出的点——(电路板3的信号输入)6K2(电路板3输出)

实验二、调幅接收系统实验

一、实验目的:图2为实验中的调幅接收系统结构图(虚框部分为实验重点,低噪放电路下次实验实现,本振信号由信号源产生。)。通过实验了解与掌握调幅接收系统,了解与掌握三极管混频器电路、中频放大/AGC电路、检波电路。

图2 调幅接收系统结构图

二、预习内容:

1、给出完整的调幅接收系统结构图。

2、晶体管混频器电路

图T6-1为晶体管混频电路图,熟悉电路,并论述其原理。思考并回答下列问题:

A、何为混频增益,如何测量混频增益,给出需要的仪器,测试方法和测试结

构图。混频增益Au=输出中频电压振幅/输入高频电压增益仪器:示波器测试方法:

B、混频增益受哪些电参数影响?图T6-1输入信号幅度多少合适?本振输入

信号的幅度应为多少?

A、混频增益Au=输出中频电压振幅/输入高频电压增益

仪器:示波器

测试方法:

在调试好2BG1的静态工作点后,加入30MHZ的调试载波和30.455MHZ的本振波,在V2-3接示波器,观察波形;调节谐振回路(2C3),得到最大不失真波形,测量出其赋值Ui;在V2-1接示波器,测量其赋值Us;则Au=Ui/Us.

B

3、中频放大/AGC和检波电路

图8-4为中频放大/AGC和检波电路图。熟悉电路,并论述其原理。思考并回答下列问题:

A、AGC是什么?AGC电路在通信系统中作用是什么?AGC主要指标有哪些?

B、二极管检波原理是什么?大信号包络检波的特点是什么?

C、图8-4输入信号幅度是不是越大越好?调测中输入信号幅度应为多少?

D、检波电路中含有R、C器件,不正确选择R、C会造成何种失真?

A、:自动功放控制器(即反馈控制电路)

作用:利用AGC控制前级中频放大器的输出增益,使系统总增益随规律变化

指标:动态范围、线性度

B、调幅波信号是二极管检波电路的输入,由于二极管只允许单向导电,所

以,如果使用的是硅管,则只有电压高于0.7V的部分可以通过二极管。同时,由于二极管的输出端连接了一个电容,这个电容与电阻配合对二极管输出中的高频信号对地短路,使得输出信号基本上就是信号包络线。电容和电阻构成的这种电路功能叫做滤波

C

D、R、C过大:惰性失真R过大:底部截止失真

三、给出调幅接收系统调试步骤;

指出各单元电路的主要功能和指标,各项指标如何测量,给出测

试方案,给出仪器与实验电路连接的测试结构图。

指出调幅接收系统的主要指标,给出调幅接收系统的调试方案与调试步骤。

1、晶体管混频电路:

先直流后交流

1调节电路静态工作点,调节2W1使2BG1的直流工作点即2R4上的电流为5mA,利用万用表直流电压档测量2R4(即Re)两端电压,调整基极偏执电阻2W1

2输入10.455MHz的调幅信号与10MHz的本振信号。利用函数信号发生器,分别在V2-1和V2-5处接入高频载波信号和本振信号。其中,高频载波信号频率10MHz,峰峰值250mV,

本振信号为10.455MHz的调制信号(利用函数信号发生器的调制模式将1000kHz 和10.455MHz的正弦信号进行调制);

3调节选频网络,观测中频输出,调节2C3,使输出为455KHz的最大不失真稳定正弦波。在观测点V2-3接入示波器,用小螺丝刀调节选频网络2B1中的电容2C3,使输出中频信号尽量达到最大不失真(注意固定示波器的时基),并使中频输出信号固定在455KHz左右;

4改变基极偏执电阻2W1,使静态工作点从0到3.0变化,测量不同静态工作点下的中频输出的峰峰值,并计算混频增益,计算公式为:

=20lg(Vi/Vs)

A

C

2、中频放大/AGC和检波电路:

先直流后交流

1调节电路静态工作点,接通12V直流电源,调整3BG1静态工作点:利用万用表直流电压档测量3R7(即Re)两端电压,调整基极偏执电阻3W1,使发射级电流Ie在0.5到0.8mA左右即可;分别通过3W1和3W2调节3BG1和3BG2的直流工作点,需要注意的是前者电压应略大于后者

2利用函数信号发生器,在V3-1处接入455kHz的中频输入信号;将开关3K2、3K3闭合,接入AGC;

(第一级中频放大电路)以V3-2为观测点,调节选频网络中的电容3C4,使中频放大输出信号最大不失真且保持455kHz;(第二级AGC电路)以3BG2为中心

的AGC 反馈控制电路调节方式与步骤4一样,调节选频网络中的电容3C7,使中频放大输出信号最大不失真且保持455kHz ;

3改变出入中频信号的峰峰值,使之从1mV 到1V 变化,测量不同峰峰值输入信号Uin 下,中频放大器输出Vo1(即AGC 输入)和AGC 输出Vo2以及AGC 控制电压;

3、调幅接收系统:

分别在V2-1和V2-5处接入高频载波信号和本振信号。其中,高频载波信号频率10MHz ,峰峰值250mV ,本振信号为10.455MHz 的调制信号(利用函数信号发生器的调制模式将1000kHz 和10.455MHz 的正弦信号进行调制); 晶体管混频电路与中频放大/AGC 和检波电路通过试验箱内部连接

二、测试指标与测试波形:

1、晶体管混频电路:

混频管静态电流“Ic ”变化对混频器中频输出信号“U2”的影响关系

表2- 1 测试条件:EC1 = +12V 、 载波信号Us = 5mv UL=250 mV pp Ic= 0.1—3mA

2、中频放大/AGC 和检波电路:

2.1、AGC 动态范围测试

表2-2 V1=+12V, Uin=1mVp-p ——1Vp-p/455kHz

入)(mV

PP

) AGC输出Vo2(mV

PP AGC控制电压(V)

网络综合实验设计

模块四综合模块设计(网络互联)班级14信管本学号141201120姓名李显明 实验时间2017年5月11日 实验地点综合实验楼608 分组及同组人双人组,同组人:付卫 实验项目网络互联 实验总结与讨论综合设计实验: 1、二层交换机的工作原理:二层交换机属数据链路层设备,可以识别数据包中的 MAC地址信息,根据MAC地址进行转发,并将这些MAC地址与对应的端口记录在自己 内部的一个地址表中。具体的工作流程如下: (1)当交换机从某个端口收到一个数据包,它先读取包头中的源MAC地址,这样它 就知道源MAC地址的机器是连在哪个端口上的; (2)再去读取包头中的目的MAC地址,并在地址表中查找相应的端口; (3)如表中有与这目的MAC地址对应的端口,把数据包直接复制到这端口上; (4)如表中找不到相应的端口则把数据包广播到所有端口上,当目的机器对源机器 回应时,交换机又可以学习目的MAC地址与哪个端口对应,在下次传送数据时就不再 需要对所有端口进行广播了。 不断的循环这个过程,对于全网的MAC地址信息都可以学习到,二层交换机就是这样建立和维护它自己的地址表。 2、三层交换机的工作原理:三层交换机就是具有部分路由器功能的交换机,三层 交换机的最重要目的是加快大型局域网内部的数据交换,能够做到一次路由,多次转 发。三层交换技术就是二层交换技术+三层转发技术。传统交换技术是在OSI网络标 准模型第二层——数据链路层进行操作的,而三层交换技术是在网络模型中的第三层 实现了数据包的高速转发,既可实现网络路由功能,又可根据不同网络状况做到最优 网络性能。使用IP的设备A----三层交换机----使用IP的设备B,比如A要给B发 送数据,已知目的IP,那么A就用子网掩码取得网络地址,判断目的IP是否与自己 在同一网段。如果在同一网段,但不知道转发数据所需的MAC地址,A就发送一个ARP

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

电子电路综合实验

电子电路综合实验 总结报告 题目:红外遥控器信号接收和显示的 设计实现 班级:20100412 学号:2010041227 姓名:涂前 日期:2013.04.17 成绩:

摘要: 我国经济的高速发展,给电子技术的发展,带来了新的契机.其中,红外遥控器越来越多的应用到电器设备中,但各种型号遥控器的大量使用带来的遥控器大批量多品种的生产,使得检测成为难题,因此智能的红外遥控器检测装置成为一种迫切的需要。在该红外遥控器信号的接收和显示电路以单片机和一体化红外接收器为核心技术,但是,分立元件搭建的电路也可以实现,具体74HC123单稳态触发器、74HC595、STC89C51单片机红外接收器HS0038组成。在本系统的设计中,利用红外接收器接收遥控器发出的控制信号,并通过单稳态触发器、移位寄存器等将接收信号存储、处理、比较,并将数据处理送至数码管显示模块。总之,通过对电路的设计和实际调试,可以实现红外遥控器信号的接收与显示功能。根据比较接收信号的不同,在数码管显示电路及流水灯电路上显示相应的按键数字. 关键词:74HC123单稳态触发器、74HC595、单片机、红外接收器HS0038

设计选题及设计任务要求 1设计选题 基于单片机的红外遥控器信号接收和转发的设计实现. 2设计任务要求 ⑴结合数字分立元件电路和红外接收接口电路共同设计的一个红外遥控信号接收系统,用普通电视机遥控器控制该系统,使用数码管显示信号的接收结果。 ⑵当遥控器按下任意数值键时,在数码管上显示其值。例如按下“0”时,在数码管上应显示“00”。

目录 第一章系统概述 1.1 方案对比及论证 1.2 总体方案对比 1.3方案对比论证 1.4可行性分析 第二章主要器件介绍 2.1 HS0038塑封一体化红外线接收器 2.2 74HC123单稳态触发器 2.3 74HC595 2.4 MC14495 2.5数码管显示 第三章硬件单元电路设计及原理分析 第四章调试及测试数据分析 4.1 调试的步骤 4.2 调试出现的问题及原因分析 4.3数据测量 4.4 测量仪器介绍及误差分析

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

电路综合设计实验-设计实验2-实验报告

设计实验2:多功能函数信号发生器 一、摘要 任意波形发生器是不断发展的数字信号处理技术和大规模集成电路工艺孕育出来的一种新型测量仪器,能够满足人们对各种复杂信号或特殊信号的需求,代表了信号源的发展方向。可编程门阵列(FPGA)具有高集成度、高速度、可重构等特性。使用FPGA来开发数字电路,可以大大缩短设计时间,减小印制电路板的面积,提高系统的可靠性和灵活性。 此次实验我们采用DE0-CV开发板,实现函数信号发生器,根据按键选择生产正弦波信号、方波信号、三角信号。频率范围为10KHz~300KHz,频率稳定度≤10-4,频率最小不进10kHz。提供DAC0832,LM358。 二、正文 1.方案论证 基于实验要求,我们选择了老师提供的数模转换芯片DAC0832,运算放大器LM358以及DE0-CV开发板来实现函数信号发生器。 DAC0832是基于先进CMOS/Si-Cr技术的八位乘法数模转换器,它被设计用来与8080,8048,8085,Z80和其他的主流的微处理器进行直接交互。一个沉积硅铬R-2R 电阻梯形网络将参考电流进行分流同时为这个电路提供一个非常完美的温度期望的跟踪特性(0.05%的全温度范围过温最大线性误差)。该电路使用互补金属氧化物半导体电流开关和控制逻辑来实现低功率消耗和较低的输出泄露电流误差。在一些特殊的电路系统中,一般会使用晶体管晶体管逻辑电路(TTL)提高逻辑输入电压电平的兼容性。 另外,双缓冲区的存在允许这些DAC数模转换器在保持一下个数字词的同时输出一个与当时的数字词对应的电压。DAC0830系列数模转换器是八位可兼容微处理器为核心的DAC数模转换器大家族的一员。 LM358是双运算放大器。内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。LM358的封装形式有塑封8引线双列直插式和贴片式。 本次实验选用的FPGA是Altera公司Cyclone系列FPGA芯片。Cyclone V系列器件延续了

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

电子电路设计实验(热电阻温度测量系统的设计与实现)

北京邮电大学 电子电路综合设计实验 课题名称:热电阻温度测量系统的设计与实现

索引 一、概要 1.1、课题名称 热电阻温度测量系统的设计与实现 1.2、报告摘要 为了实现利用热敏电阻测量系统温度,设计实验电路。利用热电阻100为温度测量单元,系统主要包括传感电路、放大电路、滤波电路、转换电路和显示电路五个单元构成。通过包含热敏电阻的电桥电路实现温度信号向电信号的转换,利用三运放差分电路实现放大差模信号抑制共模信号并通过二极管显示二进制数来显示温度值。此电路可以定量的显示出温度的与转换器输入电压的关系,再通过量化就可以实现温度测量的功能。报告中首先给出设计目标和电路功能分析,然后讨论各级电路具体设计和原理图,最后总结本次实验并给出了电路图。 1.3、关键字 测量温度热敏电阻差分放大低通滤波转换 二、设计任务要求 (1)了解掌握热电阻的特性和使用方法。 (2)了解数模转换电路的设计和实现方法。 (3)了解电子系统设计的方法和基本步骤。 (4)设计一个利用热电阻100 为温度测量元件设计一个电子测温系统,用发光二极管显示的输出状态,并模拟测温(实际上实验室给的是300), 用软件绘制完整的电路原理图()。 三、设计思路与总体结构图

图1:热电阻温度测量的系统原理框图 如图将系统划分为传感器电路、放大电路、滤波电路、转换电路显示器和电源电路共六个单元。传感器是由100及若干精密电阻和电位器构成的电桥电路组成;放大器是有运放324构成仪表放大器,具有较高的共模抑制比和输入阻抗;滤波电路采用高精度07二阶低通有源滤波器;模数转换电路是用0804进行设计,并利用555N产生频率为1到1.3的时钟信号来使数模转换电路实现实时同步;显示电路由发光二极管构成;电源电路采用变压器、稳压模块和整流桥等器件进行设计。 四、分块电路和总体电路的设计 4.1、温度传感器电路设计 4.1.1铂热电阻 热电阻是利用温度变化是自身阻值随之变化的特性来测量温度的,工业上广泛的用于测量中低温区(-200℃—500℃)的温度。 铂热电阻在氧化性介质中,甚至在高温下,物理、化学性质都比较稳定,因此具有较好的稳定性和测量精度,主要用于高精度温度测量和标准测温装置中。 铂热电阻与温度的关系,在0—630.74℃以内为 在-190-0以内为: 式中为t时的电阻值;是0时的电阻值;t为任意温度值;A、B、C为 分度系数,,。 但是实际实验中的使用的是300,而且根据在实验室的实际测量300在20℃时是325Ω,而且其阻值随着温度的升高而降低。 4.1.2热电阻温度传感器的接入方式 热电阻由于精度高、性能稳定等优点在工业测试中得到广泛应用。流过热电阻的电流一般为4-5,不能过大,否则产生热量过多而导致影响测量精度。

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

基本运算电路设计实验分析报告

基本运算电路设计实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验报告 课程名称:电路与模拟电子技术实验指导老师:成绩:__________________ 实验名称:基本运算电路设计实验类型:______ _同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一、实验目的和要求 1. 掌握集成运放组成的比例、加法和积分等基本运算电路的设计。 2. 掌握基本运算电路的调试方法。 3. 学习集成运算放大器的实际应用。 二、实验内容和原理(仿真和实验结果放在一起) 1、反相加法运算电路: 12 12 12 12 12 = () f o I I f f f o I I I I I u u u R R R R R u u u R R + +=- =-+ 当R1=R2时, 12 1 () f o I I R u u u R =-+ ,输出电压与Ui1,Ui2之 和成正比,其比例系数为1 f R R ,电阻R’=R1//R2//Rf。 2、减法器(差分放大电路) 专业:机械电 子工程 姓名:许世飞

11o I f u u u u R R ----= 由于虚短特性有:2 3 23 321231 1233211 11,() I f f o I I f f o I I f u u u R R R R R R u u u R R R R R R R R R u u u R R R -+== ?+?? =+ - ?+??===-=因此解得:时,有可见,当时,输出电压等于出入电压值差。 3、由积分电路将方波转化为三角波: 电路中电阻R2的接入是为了抑制由IIO 、VIO 所造成的积分漂移,从而稳定运放的输出零点。在t<<τ2(τ2=R2C )的条件下,若vS 为常数,则vO 与t 将近似成线性关系。因此,当vS 为方波信号并满足Tp<<τ2时(Tp 为方波半个周期时间),则vO 将转变为三角波,且方波的周期越小,三角波的线性越好,但三角波的幅度将随之减小。 4 、同相比例计算电压运算特性: 电压传输特性是表征输入与输出之间的关系曲线,即vO= f(vS) 。同相比例运算电路是由集成运放组成的同相放大电路,其输出与输入成比例关系, 但输出信号的大小受集成运放的最

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

数字电路综合设计报告

成都信息工程学院数字电路综合设计报告 课程名称:乐曲演奏电路综合设计系部:信息安全工程学院 专业班级:信对121 学生姓名:罗星 学号:2012123015 指导教师:邓娜曾祥萍龚一光

一. 设计要求 (3) 二. 系统概述及工作原理 (3) 2.1系统概述 (3) 2.2工作原理 (3) 2.2.1乐曲发声原理 (3) 2.2.2硬件电路发声原理 (4) 三. 设计的具体实现 (4) 3.1单元电路设计与分析 (5) 3.1.1十分频器 (5) 3.1.2数控分频器 (6) 3.1.3分频预置数器 (7) 3.1.4 lpm_connter的设置 (9) 3.2音乐演奏电路的总体工作原理,时钟和音乐节拍的控制关系 (11) 3.2.1总体工作原理 (11) 3.2.2时钟和音乐节拍的控制关系 (11) 3.3调试及运行 (11) 3.3.1运行结果 (11) 3.3.2扩展为其他音乐的方法 (11) 四.心得体会及建议 (12)

基于FPGA的音乐演奏电路设计 一.设计要求 1. 设计一个乐曲硬件演奏电路,通过数字逻辑电路控制蜂鸣器演奏指定的乐曲; 2. 使用数字电路实验板上的FPGA器件(EP1C3T144C8)作为硬件电路平台,使用板载的交流蜂鸣器作为发声元件; 3. 在QuartusII环境下,将各单元电路按各自对应关系相互连接,构成乐曲硬件演奏电路,进行编译及仿真; 4. 将设计下载到实验板上验证乐曲演奏的效果。 二.系统概述及工作原理 2.1系统概述 该系统主要由十分频器,数控分频器,分频预置数器,计数器等构成。 整体电路框图如图一: 图1 2.2工作原理 2.2.1乐曲发声原理 1.乐曲中的每一音符对应着一个特定的频率,要想FPGA发出不同音符的音调,

北邮模电综合实验-简易电子琴的设计与实现.

电子测量与电子电路实验课程设计 题目: 简易电子琴的设计和制作 姓名孙尚威学院电子工程学院 专业电子信息科学与技术 班级学号班内序号指导教师陈凌霄 2015年 4 月 目录 一、设计任务与要求 (3) 1.1 设计任务与要求 (3) 1.2 选题目的与意义 (3) 二、系统设计分析 (3) 2.1系统总体设计 (3) 2.2 系统单元电路设计 (4) 2.2.1 音频信号产生模块 (4) 2.2.2 功率放大电路 (7) 2.2.3 开关键入端(琴键) (8) 三、理论值计算 (9) 3.1 音阶频率对应表 (9) 3.2 键入电路电阻计算 (9) 四、电路设计与仿真 (10) 4.1 电路设计 (10) 4.2 Multisim仿真 (11) 五、实际电路焊接 (11) 六、系统调试 (13)

6.1 系统测试方案 (13) 6.2 运行结果分析 (14) 七、设计体会与实验总结 (15) 一、设计任务与要求 1.1 设计任务与要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 1.2 选题目的与意义 (1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。 (3)学习调试电子电路的方法,提高实际动手能力。了解由555定时器构成简易电子琴的电路及原理。 二、系统设计分析 2.1系统总体设计 由555电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC元件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的规律依次将不同值的RC组件接 入振荡电路,就可以使振荡电路按照设定的需求,有节奏的发出已设定的音频信号,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生音符。 图1:系统组成框图 2.2 系统单元电路设计 2.2.1 音频信号产生模块 利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐。555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

相关文档
最新文档