基于51单片机及DS18B20温度传感器的数字温度计程序及详细注释

基于51单片机及DS18B20温度传感器的数字温度计程序及详细注释
基于51单片机及DS18B20温度传感器的数字温度计程序及详细注释

欢迎光临我的学习交流博客:https://www.360docs.net/doc/543829864.html,

上面有很多我个人的嵌入式开发经验总结、程序源码及详细注释。

邮箱:zpz2005@https://www.360docs.net/doc/543829864.html,

电路实物图如下图所示:

电路原理图如下图所示:

C语言程序如下所示:

/********************************************************************

* 程序名; 基于DS18B20的测温系统

* 功能:实时测量温度,超过上下限报警,报警温度可手动调整。K1是用来

* 进入上下限调节模式的,当按一下K1进入上限调节模式,再按一下进入下限

* 调节模式。在正常模式下,按一下K2进入查看上限温度模式,显示1s左右自动* 退出;按一下K3进入查看下限温度模式,显示1s左右自动退出;在调节上下限* 温度模式下,K2是实现加1功能,K1是实现减1功能,K3是用来设定上下限温* 度正负的。

* 编程者:ZPZ

* 编程时间:2009/10/2

*********************************************************************/

#include

#include

#define uint unsigned int

#define uchar unsigned char

uchar max_int=0x00,max_dot=0x00,min_int=0x00,min_dot=0x00;

bit s=0,s1=0;

#include"ds18b20.h"

#include"keyscan.h"

#include"display.h"

/***********************主函数************************/

void main()

{

beer=0;

led=1;

IT1=1;

EX1=0;

EA=1;

timer1_init(0);

get_temperature(1);

while(1)

{

keyscan();

get_temperature(0);

keyscan();

display(temp,temp_d*0.625);

alarm();

keyscan();

}

}

/********************************************************************

* 程序名; __ds18b20_h__

* 功能:DS18B20的c51编程头文件

* 编程者:ZPZ

* 编程时间:2009/10/2

* 说明:用到的全局变量是:无符号字符型变量temp(测得的温度整数部分),temp_d * (测得的温度小数部分),标志位f(测量温度的标志位…0?表示“正温度”…1?表

* 示“负温度”),标志位f_max(上限温度的标志位…0?表示“正温度”、…1?表

* 示“负温度”),标志位f_min(下限温度的标志位…0?表示“正温度”、…1?表

* 示“负温度”),标志位w(报警标志位…1?启动报警…0?关闭报警)。

*********************************************************************/

#ifndef __ds18b20_h__

#define __ds18b20_h__

#define uint unsigned int

#define uchar unsigned char

sbit DQ= P2^3;

sbit beer=P3^0;

sbit led=P3^1;

uchar temp=0; //温度的整数部分

uchar temp_d=0; //温度的小数部

uchar n;

bit f=0,f_max=0,f_min=0;w=0;

/***********************延时子函数************************/

void ds18b20_delayus(uint t)

{

while(t--);

}

void ds18b20_delayms(uint t)

{

uint i,j;

for(i=t;i>0;i--)

for(j=120;j>0;j--);

}

/******************ds18b20初始化函数*********((*********/

void ds18b20_init() // DS18B20初始化

{

DQ=1;

DQ=0; //控制器向DS18B20发低电平脉冲

ds18b20_delayus(30); //延时480μs

DQ=1; //控制器拉高总线,

while(DQ); //等待DS18B20拉低总线,在60-240μs之间ds18b20_delayus(20); //延时,等待上拉电阻拉高总线

DQ=1; //提升数据线,准备数据传输;

}

/******************ds18b20字节读函数******************/

uchar ds18b20_read() //DS18B20 字节读取

{

uchar i;

uchar d = 0;

DQ = 1; //准备读;

for(i=8;i>0;i--)

{

d >>= 1; //低位先发;

DQ = 0;

_nop_();

_nop_();

_nop_();

DQ = 1; //必须写1,否则读出来的将是不预期的数据;

if(DQ) //在12us处读取数据;

d |= 0x80;

ds18b20_delayus(10);

}

return d;

}

/******************ds18b20字节写函数******************/

void ds18b20_write(uchar d) // ds18b20字节写

{

uchar i;

for(i=8;i>0;i--)

{

DQ=0;

_nop_();

_nop_();

_nop_();

DQ=d&0x01;

ds18b20_delayus(5);

DQ=1;

d >>= 1;

}

}

/*********************获取温度函数**********************/

void get_temperature(bit f) //得到整数的温度值

{

uchar a=0,b=0,c=0,d=0;

uint i;

ds18b20_init(); //DS18B20初始化

ds18b20_write(0xcc); //向DS18B20发SKIP ROM命令

ds18b20_write(0x44); //启动DS18B20进行温度转换,结果存入内部RAM ds18b20_delayms(1);

ds18b20_init(); //DS18B20初始化

ds18b20_write(0xcc); //向DS18B20发SKIP ROM命令

ds18b20_write(0xbe); //读内部RAM中9字节的内容命令

a=ds18b20_read(); //读内部RAM (LSB)

b=ds18b20_read(); //读内部RAM (MSB)

if(f==1)

{

max_int=ds18b20_read(); //读内部RAM (LSB)

min_int=ds18b20_read();

}

if((max_int&0x80)==0x80)

{f_max=1;max_int=(max_int-0x80);}

if((min_int&0x80)==0x80)

{f_min=1;min_int=(min_int-0x80);}

i=b;

i>>=4;

if (i==0)

{

f=0; //i为0,正温度,设立正温度标记

temp=((a>>4)|(b<<4)); //整数部分

a=(a&0x0f);

temp_d=a; //小数部分

}

else

{

f=1; //i为1,负温度,设立负温度标记

a=~a+1;

b=~b;

temp=((a>>4)|(b<<4)); //整数部分

a=(a&0x0f); //小数部分

temp_d=a;

}

}

void store_t()

{

if(f_max==1)

max_int=max_int+0x80;

if(f_min==1)

min_int=min_int+0x80;

ds18b20_init(); //DS18B20初始化

ds18b20_write(0xcc); //向DS18B20发SKIP ROM命令

ds18b20_write(0x4e);

ds18b20_write(max_int);

ds18b20_write(min_int);

ds18b20_write(0xff);

ds18b20_init(); //DS18B20初始化

ds18b20_write(0xcc); //向DS18B20发SKIP ROM命令

ds18b20_write(0x48);

}

/**********************温度超限报警函数***********************/

void alarm()

{

if(f_max==0)

{

if(f_min==0)

{

if(f==0)

{

if((temp+temp_d*0.0625)<=min_int||(temp+temp_d*0.0625)>=max_int)

{w=1;TR1=1;}

if((temp+temp_d*0.0625)min_int)

{w=0;}

}

if(f==1){w=1;TR1=1;}

}

if(f_min==1)

{

if(f==0)

{

if((temp+temp_d*0.0625)>=max_int)

{w=1;TR1=1;}

if((temp+temp_d*0.0625)

{w=0;}

}

if(f==1)

{

if((temp+temp_d*0.0625)>=min_int)

{w=1;TR1=1;}

if((temp+temp_d*0.0625)

{w=0;}

}

}

}

if(f_max==1)

{

if(f_min==1)

{

if(f==1)

{

if((temp+temp_d*0.0625)<=max_int||(temp+temp_d*0.0625)>=min_int)

{w=1;TR1=1;}

if((temp+temp_d*0.0625)max_int)

{w=0;}

}

if(f==0){w=1;TR1=1;}

}

}

}

#endif

/**********************************************************************

* 程序名; __keyscan_H__

* 功能:ds18b20键盘头文件,通过键盘设定设定上下限报警温度

* 编程者:ZPZ

* 编程时间:2009/10/2

**********************************************************************/

#ifndef __keyscan_H__

#define __keyscan_H__

sbit key1=P2^2;

sbit key2=P2^1;

sbit key3=P2^0;

sbit key4=P3^3;

uchar a=0,i=0;

bit k4=0,v=0,v1=0,v2=0;

/***************************读键盘延时子函数**************************/

void keyscan_delay(uint z)

{

uint i,j;

for(i=z;i>0;i--)

for(j=120;j>0;j--);

}

/****************************温度调节函数******************************/

int temp_change(int count,bit f)

{

if(key2==0)

{

keyscan_delay(10);

if(key2==0)

{

if(f==0)

{

count++;

if(a==1){if(count>125) count=125;}

if(a==2){if(count>125) count=125;}

}

if(f!=0)

{

count++;

if(a==1){if(count>55) count=55;}

if(a==2){if(count>55) count=55;}

}

}

while(key2==0);

keyscan_delay(10);

}

if(key3==0)

{

keyscan_delay(10);

if(key3==0)

{

count--;

if(a==1){if(count<0) count=0;}

if(a==2){if(count<0) count=0;}

}

while(key3==0);

keyscan_delay(10);

}

return count;

}

/*****************************读键盘函数******************************/ void keyscan()

{

if(key1==0)

{

keyscan_delay(10);

if(key1==0)

{

TR1=1;

k4=1;

v=1;

i++;

if(i>2){i=0;TR1=0;k4=0;v=0;store_t();get_temperature(1);}

switch(i)

{

case 0:a=0;break;

case 1:a=1;break;

case 2:a=2;break;

default:break;

}

}

while(key1==0);

keyscan_delay(10);

}

if(a==1&&v==1)

{led=0;max_int=temp_change(max_int,f_max);}

else if(a==2&&v==1)

{led=1;min_int=temp_change(min_int,f_min);}

else;

if(k4==1)

{

if(key4==0)

{

keyscan_delay(5);

if(key4==0)

{

if(a==1)

{if(max_int>55) f_max=0;else f_max=~f_max;}

if(a==2)

{if(min_int>55) f_max=0;else f_min=~f_min;}

}

while(key4==0);

keyscan_delay(10);

}

}

if(v==0)

{

if(key2==0)

{

keyscan_delay(10);

if(key2==0)

{

a=1;

TR1=1;

s1=1;

}

while(key2==0);

keyscan_delay(10);

}

if(key3==0)

{

keyscan_delay(10);

if(key3==0)

{

a=2;

TR1=1;

s1=1;

}

while(key3==0);

keyscan_delay(10);

}

if(v1==1)

{a=0;v1=0;TR1=0;}

}

}

#endif

/**********************************************************************

* 程序名; __ds18b20_display_H__

* 功能:ds18b20数码管动态显示头文件,通过定时器0延时实现数码管动态显示* 编程者:ZPZ

* 编程时间:2009/10/2

**********************************************************************/

#ifndef __ds18b20_display_H__

#define __ds18b20_display_H__

#define uint unsigned int

#define uchar unsigned char

sbit wei1=P2^4;

sbit wei2=P2^5;

sbit wei3=P2^6;

sbit wei4=P2^7;

uchar num=0;

uchar code temperature1[]={ 0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f};

uchar code temperature2[]={ 0xbf,0x86,0xdb,0xcf,0xe6,

0xed,0xfd,0x87,0xff,0xef};

uchar code temperature3[]={ 0x00,0x80,0x40,0x76,0x38};

/***********************延时子函数************************/

void display_delay(uint t)

{

uint i,j;

for(i=t;i>0;i--)

for(j=20;j>0;j--);

}

/**************************定时器1初始化函数***************************/ void timer1_init(bit t)

{

TMOD=0x10;

TH0=0x3c;

TL0=0xb0;

EA=1;

ET1=1;

TR1=t;

}

/**************************定时器1中断函数*****************************/ void timer1() interrupt 3

{

TH0=0x3c;

TL0=0xb0;

num++;

if(num<5) {s=1;if(w==1){beer=1;led=1;}else{beer=1;led=1;}}

else {s=0;if(w==1){beer=0;led=0;}else{beer=1;led=1;}}

if(num>25)

{

num=0;

s1=0;

v1=1;

}

}

/***********************调节选择函数************************/

void selsct_1(uchar f,uchar k)

{

if(f==0)

{

if(k/100==0) P0=temperature3[0];

else P0=temperature1[k/100];

}

if(f==1)

{

if(k%100/10==0) P0=temperature3[0];

else P0=temperature3[2];

}

}

void selsct_2(bit f,uchar k)

{

if(f==0)

{

if((k/100==0)&&(k%100/10==0))

P0=temperature3[0];

else P0=temperature1[k%100/10];

}

if(f==1)

{

if(k%100/10==0) P0=temperature3[2];

else P0=temperature1[k%100/10];

}

}

/***********************显示函数************************/ void display(uchar t,uchar t_d)

{

uchar i;

for(i=0;i<4;i++)

{

switch(i)

{

case 0:

if(a==0){selsct_1(f,t);}

if(a==1)

{

if(s==0) selsct_1(f_max,max_int);

else P0=temperature3[0];

if(s1==1) selsct_1(f_max,max_int);

}

if(a==2)

{

if(s==0) selsct_1(f_min,min_int);

else P0=temperature3[0];

if(s1==1) selsct_1(f_min,min_int);

}

wei2=0;

wei3=0;

wei4=0;

wei1=1;

break;

case 1:

if(a==0){selsct_2(f,t);}

if(a==1)

{

if(s==0) selsct_2(f_max,max_int);

else P0=temperature3[0];

if(s1==1) selsct_2(f_max,max_int);

}

if(a==2)

{

if(s==0) selsct_2(f_min,min_int);

else P0=temperature3[0];

if(s1==1) selsct_2(f_min,min_int);

}

wei1=0;

wei3=0;

wei4=0;

wei2=1;

break;

case 2:

if(a==0){P0=temperature2[t%10];}

if(a==1)

{

if(s==0) P0=temperature2[max_int%10];

else P0=temperature3[0];

if(s1==1) P0=temperature2[max_int%10];

}

if(a==2)

{

基于51单片机及DS18B20温度传感器的数字温度计程序(详细注释)

基于51单片机及DS18B20温度传感器的数字温度计程序(详细注释)

电路实物图如下图所示: C 语言程序如下所示: /******************************************************************** zicreate ----------------------------- Copyright (C) https://www.360docs.net/doc/543829864.html, -------------------------- * 程序名; 基于DS18B20的测温系统 * 功 能: 实时测量温度,超过上下限报警,报警温度可手动调整。K1是用来 * 进入上下限调节模式的,当按一下K1进入上限调节模式,再按一下进入下限 * 调节模式。在正常模式下,按一下K2进入查看上限温度模式,显示1s 左右自动 * 退出;按一下K3进入查看下限温度模式,显示1s 左右自动退出;按一下K4消除 * 按键音,再按一下启动按键音。在调节上下限温度模式下,K2是实现加1功能, * K1是实现减1功能,K3是用来设定上下限温度正负的。 * 编程者:Jason * 编程时间:2009/10/2 *********************************************************************/ #include //将AT89X52.h 头文件包含到主程序 #include //将intrins.h 头文件包含到主程序(调用其中的_nop_()空操作函数延时) #define uint unsigned int //变量类型宏定义,用uint 表示无符号整形(16位) #define uchar unsigned char //变量类型宏定义,用uchar 表示无符号字符型(8位) uchar max=0x00,min=0x00; //max 是上限报警温度,min 是下限报警温度 bit s=0; //s 是调整上下限温度时温度闪烁的标志位,s=0不显示200ms ,s=1显示1s 左右 bit s1=0; //s1标志位用于上下限查看时的显示 void display1(uint z); //声明display1()函数 #include"ds18b20.h" //将ds18b20.h 头文件包含到主程序 #include"keyscan.h" //将keyscan.h 头文件包含到主程序 #include"display.h" //将display.h 头文件包含到主程序

基于51单片机的DS18B20数字温度计的实训报告

电子信息职业技术学院 暨国家示性软件职业技术学院 单片机实训 题目:用MCS-51单片机和 18B20实现数字温度计 姓名: 系别:网络系 专业:计算机控制技术 班级:计控 指导教师: * 伟 时间安排:2013年1月7日至 2013年1月11日

摘要 随着国民经济的发展,人们需要对各中加热炉、热处理炉、反应炉和锅炉中温度进行监测和控制。采用单片机来对他们控制不仅具有控制方便,简单和灵活性大等优点,而且可以大幅度提高被控温度的技术指标,从而能够大大的提高产品的质量和数量。 在日常生活及工业生产过程中,经常要用到温度的检测及控制,温度是生产过程和科学实验中普遍而且重要的物理参数之一。在生产过程中,为了高效地进行生产,必须对它的主要参数,如温度、压力、流量等进行有效的控制。温度控制在生产过程中占有相当大的比例。温度测量是温度控制的基础,技术已经比较成熟。传统的测温元件有热电偶和二电阻。而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,这些方法相对比较复杂,需要比较多的外部硬件支持。我们用一种相对比较简单的方式来测量。 我们采用美国DALLAS半导体公司继DS18B20之后推出的一种改进型智能温度传感器DS18B20作为检测元件,温度围为-55~125 oC,最高分辨率可达0.0625 oC。DS18B20可以直接读出北侧温度值,而且采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的特点。 本文介绍一种基于AT89C51单片机的一种温度测量及报警电路,该电路采用DS18B20作为温度监测元件,测量围0℃-~+100℃,使用LED模块显示,能设置温度报警上下限。正文着重给出了软硬件系统的各部分电路,介绍了集成温度传感器DS18B20的原理,AT89C51单片机功能和应用。该电路设计新颖、功能强大、结构简单。 关键词:单片机,数字控制,温度计, DS18B20,AT89S51

基于51单片机的数字温度计的设计报告(王强)

西安文理学院物理与机械电子工程学院 课程设计报告 专业班级 2011级测控技术与仪器一班 课程单片机课程设计 题目基于51单片机的数字温度计的设计 学号 0703110135 学生姓名王强 指导教师陈琦 2014年 5月

西安文理学院物理与机械电子工程学院 课程设计任务书 学生姓名王强专业班级11级测控一班学号0703110135 指导教师陈琦职称讲师教研室 B0406 课程单片机课程设计 题目基于51单片机的数字温度计的设计 任务与要求 1、学会使用51单片机,并对其内部结构进行深入的了解。 2、了解DS18B20的原理以及使用方式。 3、对于共阳极、共阴极数码管有个清楚的认识和掌握。 4、测得的结果范围在-55~125度,精度为0.5。 开始日期 2014年5月12日完成日期 2014年5月25日 2014年5月28日

基于51单片机的数字温度计的设计 摘要 本设计主要介绍了一个基于AT89C51单片机的测温系统,详细描述了利用数字温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,对各部分的电路也一一进行了介绍,该系统可以方便的实现温度采集和显示,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。DS18B20与AT89C51结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。 关键词:单片机;数字温度传感器;最简温度检测系统;

基于AT89C51单片机的温度传感器

基于AT89C51单片机的温度传感器 目录 摘要.............................................................. I ABSTRACT........................................................... I I 第一章绪论 (1) 1.1 课题背景 (1) 1.2本课题研究意义 (2) 1.3本课题的任务 (2) 1.4系统整体目标 (2) 第二章方案论证比较与选择 (3) 2.1引言 (3) 2.2方案设计 (3) 2.2.1 设计方案一 (3) 2.2.2 设计方案二 (3) 2.2.3 设计方案三 (3) 2.3方案的比较与选择 (4) 2.4方案的阐述与论证 (4) 第三章硬件设计 (6) 3.1 温度传感器 (6) 3.1.1 温度传感器选用细则 (6) 3.1.2 温度传感器DS18B20 (7) 3.2.单片机系统设计 (13)

3.3显示电路设计.................................错误!未定义书签。 3.4键盘电路设计................................错误!未定义书签。 3.5报警电路设计.................................错误!未定义书签。 3.6通信模块设计.................................错误!未定义书签。 3.6.1 RS-232接口简介..............................错误!未定义书签。 3.6.2 MAX232芯片简介.............................错误!未定义书签。 3.6.3 PC机与单片机的串行通信接口电路.............错误!未定义书签。 第四章软件设计..................................错误!未定义书签。 4.1 软件开发工具的选择..........................错误!未定义书签。 4.2系统软件设计的一般原则.......................错误!未定义书签。 4..3系统软件设计的一般步骤......................错误!未定义书签。 4.4软件实现....................................错误!未定义书签。 4.4.1系统主程序流程图.........................错误!未定义书签。 4.4.2 传感器程序设计...........................错误!未定义书签。 4.4.3 显示程序设计.............................错误!未定义书签。 4.4.4 键盘程序设计.............................错误!未定义书签。 4.4.5 报警程序设计.............................错误!未定义书签。 4.4.6 通信模块程序设计.........................错误!未定义书签。 第五章调试与小结..................................错误!未定义书签。致谢...............................................错误!未定义书签。参考文献...........................................错误!未定义书签。附录...............................................错误!未定义书签。系统电路图.......................................错误!未定义书签。系统程序.........................................错误!未定义书签。

基于51单片机DS18B20温度传感器的C语言程序和电路

基于51单片机DS18B20温度传感器的C语言程序和电路 DS18B20在外形上和三极管很像,有三只脚。电压范围为3.0 V至5.5 V 无需备用电源测量温度位温度转换为12位数字格式最大值为750毫秒用户可定义的非易失性温度报警设置应用范围包敏感系统。 下面是DS18B20的子程序,本人用过完全可行的: #include #include #define uchar unsigned char #define uint unsigned int sbit DQ=P2^0; void reset(); //DS18B20 void write_byte(uchar val); //DS18B20写命令函数 uchar read_byte(void); //DS18B20读1字节函数 void read_temp(); //温度读取函数 void work_temp(); //温度数据处理函数 uchar data temp_data[2]={0x00,0x00}; uchar data display[5]={0x00,0x00,0x00,0x00,0x00}; //对于温度显示值值 uchar code ditab[16]={0x00,0x01,0x01,0x02,0x03,0x03,0x04,0x04,0x05,0x06,0x06,0x07,0x0数部分查表 main() { while(1) { 自己添加; } } void delay1(uint t) { for(;t>0;t--); } ///////温度控制子函数 void reset() { uchar presence=1; while(presence) { while(presence) {

基于单片机控制的数字温度计毕业设计

单片机课程设计报告 数字温度计

1 设计要求 ■基本范围-50℃-110℃ ■精度误差小于0.5℃ ■LED数码直读显示 2 扩展功能 ■实现语音报数 ■可以任意设定温度的上下限报警功能

数字温度计 摘要:随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术,本文将介绍一种基于单片机控制的数字温度计,本温度计属于多功能温度计,可以设置上下报警温度,当温度不在设置范围内时,可以报警。 关键词:单片机,数字控制,温度计,DS18B20,A T89S51 1 引言 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温范围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器使用单片机A T89S51,测温传感器使用DS18B20,用3位共阳极LED数码管以串口传送数据,实现温度显示,能准确达到以上要求。 2 总体设计方案 2.1数字温度计设计方案论证 2.1.1方案一 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D转换电路,感温电路比较麻烦。 2.1.2 方案二 进而考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,就可以满足设计要求。 从以上两种方案,很容易看出,采用方案二,电路比较简单,软件设计也比较简单,故采用了方案二。 2.2方案二的总体设计框图 温度计电路设计总体设计方框图如图1所示,控制器采用单片机AT89S51,温度传感器采用DS18B20,用3位LED数码管以串口传送数据实现温度显示。 图1总体设计方框图 2.2.1 主控制器

基于AT89C5单片机的数字温度计设计

基于AT89C5单片机的数字温度计设计

CHANGZHOU INSTITUTE OF TECHNOLOGY 科研实践 题目:基于单片机的数字温度计的设计

目录 目录 (2) 1.绪论 (3) 1.1课题研究背景及意义 (3) 1.2课题研究的内容 (3) 2.数字温度计的系统概论 (5) 2.1系统的功能 (5) 2.2温度计的分析 (5) 3.设计方案和要求 (6) 3.1设计任务和要求 (6) 3.2元器件的选取 (6) 3.3系统最终设计方案 (7) 4.硬件设计 (8) 4.1总体设计结构图 (8) 4.2硬件电路概述 (8) 4.2.1最小系统 (8) 4.2.2输入电路设计 (11) 4.2.3输出电路设计 (12) 5.硬件仿真 (15)

6.实物制作 (18) 6.1电路板焊接 (18) 6.2电路板调试 (19) 7.小结 (20) 附录 (21) 1.参考文献 (21) 2.原理图 (22) 3.元器件清单 (23) 4.软件程序 (24) 5.实物图 (30) 1.绪论 1.1课题研究背景及意义 单片机技术作为计算机技术的一个分支,广泛地应用于工业控制,智能仪器仪表,机电一体化产品,家用电器等各个领域。“单片机原理与应用”在工科院校各专业中已作为一门重要的技术基础课而普遍开设。学生在课程设计,毕业设计,科研项目中会广泛应用到单片机知识,而且,进入社会后也会广泛接触到单片机的工程项目。鉴于此,提高“单片机原理及应用”课的教学效果,让学生参与课程设计

实习甚为重要。单片机应用技术涉及的内容十分广泛,如何使学生在有限的时间内掌握单片机应用的基本原理及方法,是一个很有价值的教学项目。为此,我们进行了“单片机的学习与应用”方面的课程设计,锻炼学生的动脑动手以及协作能力。 单片机课程设计是针对模拟电子技术,数字逻辑电路,电路,单片机的原理及应用课程的要求,对我们进行综合性实践训练的实践学习环节,它包括选择课设任务、软件设计,硬件设计,调试和编写课设报告等实践内容。通过此次课程设计实现以下三个目标:第一,让学生初步掌握单片机课程的试验、设计方法,即学生根据设计要求和性能约束,查阅文献资料,收集、分析类似的相关题目,并通过元器件的组装调试等实践环节,使最终硬件电路达到题目要求的性能指标;第二,课程设计为后续的毕业设计打好基础,毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。第三,培养学生勤于思考乐于动手的习惯,同时通过设计并制作单片机类产品,使学生能够自己不断地学习接受新知识(如在本课设题目中存在智能测温器件DS18B20,就是课堂环节中不曾提及的“新器件”),通过多人的合作解决现实中存在的问题,从而不断地增强学生在该方面的自信心及兴趣,也提高了学生的动手能力,对学生以后步入社会参加工作打下一定良好的实践基础。 1.2课题研究的内容 本文主要介绍了一个基于AT89C51单片机的测温系统,详细描述了利用数 字温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机喜爱的硬 件连接,软件编程以及各模块系统流程进行了详尽分析,对各部分的电路也进 行一一介绍,该系统可以方便的是实现温度采集和显示,并可以根据需要任意 设定上下限报警温度,它使用起来方便,具有精度高、量程宽、灵敏度高、体 积小、功耗低等优点,适合我们日常生活和工农业生产中的温度测量,也可以 当做温度处理模块嵌入其他系统中,作为其他主系统的辅助扩展。DS18B20和AT89C51结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合 与恶劣环境下进行现场温度测量,有广泛的应用前景。 本设计首先是确定目标,气候是各个功能模块的设计,再在Proteus软件上 进行仿真,修改,仿真。 本温度计属于多功能温度计,可以设置上下报警温度,当温度不在设置范 围内时,可以报警。

基于51单片机的数字温度报警器

摘要:随着传感器在生产生活中更加广泛的应用,一种新型的数字式温度传感器实现对温度的测试与控制得到了更快的开发。本文设计了一种基于单片机AT89C52的温度检测及报警系统。该系统将温度传感器DS18B20接到单片机的一个端口上,单片机对温度传感器进行循环采集。将采集到的温度值与设定的上下限进行比较,当超出设定范围的上下限时,通过单片机控制的报警电路就会发出报警信号,从而实现了本次课程设计的要求。该系统设计和布线简单、结构紧凑、体积小、重量轻、抗干扰能力较强、性价比高、扩展方便,在工农业等领域的温度检测中有广阔的应用前景。本次课程设计的测量范围为0℃--99℃,测量误差为±2℃。 关键字:温度传感器、单片机、报警、数码管显示 一、概述 本次设计可以应用到许多我们用过的软件设计,将前面所学的知识融汇在一起实现温度监测及其报警的功能,来提醒农民当前大棚内温度是否适合农作物的生长。 电子技术是在十九世纪末、二十世纪初开始发展起来的新兴技术,在二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 随着电子技术的飞速发展,电子技术在日常生活中得到了广泛的应用,各类转换电路的不断推出以及电子产品的快速更新,电子技术已成为世界发展和人们生活中必不可少的工具。 本次课设应用Protues软件设计一个温度检测报警系统,用温度传感器DS18B20采集大棚内的温度,当大棚内的温度高于30℃。或低于15℃。时,电路发出报警信号并显示当前温度,达到提醒农民的效果。 本次课设要求设计一个温度监测报警显示电路,要求温度范围:0℃--99℃;测量误差为±2℃;报警下限温度为:15℃;报警上限温度为:30℃。 二、方案论证 设计一个用于温室大棚温度监测系统。大棚农作物生长时,其温度不能太低,也不能太高,太低或太高均不适合农作物生长。该系统可实时测量、显示大棚的温度,当大棚温度超过农作物生长的温度范围时,报警提醒农民。 方案一: 方案一原理框图如图1所示。 图1 大棚温度检测系统的原理框图 方案二: 方案二原理框图如图2所示。

基于单片机的数字温度计设计开题报告

****大学综合性设计实验 开题报告 ?实验题目:数字温度计的设计 ?学生专业10电气工程与自动化 ?同组人:———————— ?指导老师: 2013年4月

1.国内外现状及研究意义 随着科技的不断发展,现代社会对各种信息参数的准确度和精确度的要求都有了几何级的增长,而如何准确而又迅速的获得这些参数就需要受制于现代信息基础的发展水平。在三大信息信息采集(即传感器技术)、信息传输(通信技术)和信息处理(计算机技术)中,传感器属于信息技术的前沿尖端产品,尤其是温度传感器技术,在我国各领域已经引用的非常广泛,可以说是渗透到社会的每一个领域,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。 测量温度的关键是温度传感器,温度传感器的发展经历了三个发展阶段: ①传统的分立式温度传感器 ②模拟集成温度传感器 ③智能集成温度传感器。 目前的智能温度传感器(亦称数字温度传感器)是在20世纪90年代中期问世的,它是微电子技术、计算机技术和自动测试技术(ATE)的结晶,特点是能输出温度数据及相关的温度控制量,适配各种微控制器(MCU)。社会的发展使人们对传感器的要求也越来越高,现在的温度传感器正在基于单片机的基础上从模拟式向数字式,从集成化向智能化、网络化的方向飞速发展,并朝着高精度、多功能、总线标准化、高可靠性及安全性、开发虚拟传感器和网络传感器、研制单片测温系统等高科技的方向迅速发展,本文将介绍智能集成温度传感器DS18B20的结构特征及控制方法,并对以此传感器,AT89S51单片机为控制器构成的数字温度测量装置的工作原理及程序设计作了详细的介绍。与传统的温度计相比,其具有读数方便,测温范围广,测温准确,输出温度采用数字显示,主要用于对测温要求比较准确的场所,或科研实验室使用。该设计控制器使用ATMEL公司的AT89S51单片机,测温传感器使用DALLAS公司DS18B20,用液晶来实现温度显示。 2.方案设计及内容 (一)、方案一 采用热电偶温差电路测温,温度检测部分可以使用低温热偶,热电偶由两个焊接在一起的异金属导线所组成,热电偶产生的热电势由两种金属的接触电势和单一导体的温差电势组成。通过将参考结点保持在已知温度并测量该电压,便可推断出检测结点的温度。数据采集部分则使用带有A/D 通道的单片机,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来。热电偶的优点是工作温度范围非常宽,且体积小,

51单片机的液晶显示温度计程序

51单片机的液晶显示温度计程序 51单片机的液晶显示温度计程序#include <reg51.h> #include <intrins.h>sbit RST = P2^0; sbit CLK = P2^1; sbit DQ = P2^2; sbit TSOR = P2^3; sbit ALERT =P2^4; sbit RS = P2^7; sbit RW = P2^6; sbit EN = P2^5; /*------------------------------------------全局变量 -------------------------------------------------------*/ static unsigned char temp1,temp2; //温度值的整数部分、小数部分 static unsigned char pos,posset; //数字电位器电位值、设定值 static unsigned char min,sec; //分钟、秒static unsigned char count; //Timer0中断计数 static unsigned char minset; //设定的分钟

数 static unsigned char status1,status2; //状态标志 bit stop,timeover; //定时停止、结束 static char line0[] =" 00:00 "; static char line1[] =" . C W";/*-------------------------------------------------------------------------------------------------------------*/ void InitInterupt(); void KeyboardDelay(); /*-------------------------------------------LCD驱动函数 ------------------------------------------------*/ void DelayL(); void DelayS(); void WriteCommand(unsigned char c); void WriteData(unsigned char c); void ShowChar(unsigned char pos,unsigned char c); void ShowString(unsigned char line,char *ptr); void InitLcd(); /*----------------------------------------------键盘-程序 --------------------------------------------------*/ unsigned char GetKey(); /*---------------------------------------------数字温度计驱动

基于51单片机的数字温度计设计

基于51单片机的数字温度计设计 一.课题选择 随着时代的发展,控制智能化,仪器小型化,功耗微量化得到广泛关注。单片机控制系统无疑在这方面起到了举足轻重的作用。单片机的应用系统设计业已成为新的技术热点,其中数字温度计就是一个典型的例子,它可广泛应用与生产生活的各个方面,具有巨大的市场前景。 二.设计目的 1.理解掌握51单片机的功能和实际应用。 2.掌握仿真开发软件的使用。 3.掌握数字式温度计电路的设计、组装与调试方法。 三.实验要求 1.以51系列单片机为核心器件,组成一个数字式温度计。 2.采用数字式温度传感器为检测器件,进行单点温度检测。 3.温度显示采用4位LED数码管显示,三位整数,一位小数。 四.设计思路 1.根据设计要求,选择STC89C51RC单片机为核心器件。 2.温度检测采用DS18B20数字式温度传感器。与单片机的接口为P 3.6引脚。 3.采用usb数据线连接充电宝供电,接电后由按钮开关控制电路供电。 硬件电路设计总体框图为图1: 五.系统的硬件构成及功能 1.主控制器 单片机STC89C51RC具有低电压供电和体积小等特点,有40个引脚,其仿真图像如下图所示:

2.显示电路 显示电路采用4位共阳LED数码管,从P3口RXD,TXD串口输出段码。LED数码管在仿真软件中如下图所示: 3.温度传感器 DS18B20是美国DALLAS半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。DS18B20的性能特点如下: 1.独特的单线接口仅需一个端口引脚进行通讯。 2.简单的多点分布应用。 3.无需外部器件。 4.可通过数据线供电。 5.零待机功耗。 6.测温范围-55~+125摄氏度。 其电路图如下图所示:

基于单片机的温度传感器的设计说明

基于单片机的温度传感器 的设计 目录 第一章绪论-------------------------------------------------------- ---2 1.1 课题简介 ----------------------------------------------------------------- 2 1.2 设计目的 ----------------------------------------------------------------- 3 1.3 设计任务 ----------------------------------------------------------------- 3 第二章设计容与所用器件 --------------------------------------------- 4第三章硬件系统设计 -------------------------------------------------- 4 3.1单片机的选择------------------------------------------------------------- 4 3.2温度传感器介绍 ---------------------------------------------------------- 5 3.3温度传感器与单片机的连接---------------------------------------------- 8 3.4单片机与报警电路-------------------------------------------------------- 9 3.5电源电路----------------------------------------------------------------- 10 3.6显示电路----------------------------------------------------------------- 10 3.7复位电路----------------------------------------------------------------- 11 第四章软件设计 ----------------------------------------------------- 12 4.1 读取数据流程图--------------------------------------------------------- 12 4.2 温度数据处理程序的流程图 -------------------------------------------- 13 4.3程序源代码 -------------------------------------------------------------- 14

单片机课程设计—数字温度计

第1章概述 1.1 数字温度计简介 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 此次课程设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温范围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器使用单片机AT89S51,测温传感器使用DS18B20,用3位共阳极LED数码管以串口传送数据,实现温度显示,能准确达到以上要求。 1.2 设计内容及要求 本次单片机课程设计将以51系列单片机为核心,以开发板为平台;设计一个数字式温度计,要求使用温度传感器(可以采用DS18B20或采用AD590)测量温度,再经单片机处理后,由LED数码管显示测量的温度值。测温范围为0~100℃,精度误差在0.5℃以内。

第2章系统总体方案设计 2.1数字温度计设计的方案 在做数字温度计的单片机电路中,对信号的采集电路大多都是使用传感器,这是非常容易实现的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,就可以满足设计要求。采集之后,通过使用51系列的单片机,可以对数据进行相应的处理,再由LED显示电路对其数据进行显示。 2.2系统设计框图 温度计电路设计总体设计方框图如图 2.1所示,控制器采用单片机AT89S51,温度传感器采用DS18B20,用6位LED数码管以串口传送数据实现温度显示。此外,还添加了报警系统,对温度实施监控。 图2.1 数字温度计框图

(完整版)基于51单片机的数字温度计

硬件课程设计实验报告课题:数字温度计 班级: 作者: 学号: 指导老师: 课设评价: 课设成绩:

目录 一.需求分析 (1) 二.概要设计 (1) 三.硬件电路设计 (3) 四.系统软件设计 (5) 五.软件仿真 (8) 六.实际连接与调试 (9) 七.本次课设的收获与感受 (11) 附录(程序源代码) (12)

一.需求分析 功能要求: 测量环境温度,采用接触式温度传感器测量,用数码管显示温度值。 设计要求: (一)功能要求 (1) 由4位数码管显示当前温度。 (2) 具备报警,报警门限通过键盘设置。 (3) 精度为0.5℃。 (二)画出参考的电路原理图 (三)画出主程序及子程序流程图、画出MCS51内部RAM分配图,并进行适当地解释。 (四)写出实现的程序及实现过程。并进行适当地解释说明。 二.概要设计 (一)方案选择 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D转换电路,感温电路比较麻烦。进而考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,就可以满足设计要求。 (二)系统框图 该系统可分为以下七个模块: (1)控制器:采用单片机STC89C52对采集的温度数据进行处理; (2)温度采集:采用DS18B20直接向控制器传输12位二进制数据; (3)温度显示:采用了4个LED共阴极七段数码管显示实际温度值; (4)门限设置:主要实现模式切换及上下门限温度的调节; (5)报警装置:采用发光二极管进行报警,低于低门限或高于高门限均使其发光; (6)复位电路:对整个系统进行复位; (7)时钟振荡模块:为整个系统提供统一的时钟周期。

基于AT89C51单片机的测温系统

引言 本文主要介绍了一个基于AT89C51单片机的测温系统,详细描述了利用数字温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,特别是数字温度传感器DS18B20的数据采集过程,并介绍了利用C语言编程对DS18B20的访问,该系统可以方便的实现实现温度采集和显示,使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点。DS18B20与AT89C51结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量。 数字温度计与传统的温度计相比,具有读数方便、测温范围广、测温精确、功能多样话等优点。其主要用于对测温要求准确度比较高的场所,或科研实验室使用,该设计使用STC89C52单片机作控制器,数字温度传感器DS18B20测量温度,单片机接受传感器输出,经处理用LED数码管实现温度值显示。

一、设计要求 通过基于MCS-51系列单片机AT89C51和DS18B20温度传感器检测温度,熟悉芯片的使用,温度传感器的功能,数码显示管的使用,C语言的设计;并且把我们这一年所学的数字和模拟电子技术、检测技术、单片机应用等知识,通过理论联系实际,从题目分析、电路设计调试、程序编制调试到传感器的选定等这一完整的实验过程,培养了学生正确的设计思想,使学生充分发挥主观能动性,去独立解决实际问题,以达到提升学生的综合能力、动手能力、文献资料查阅能力的作用,为毕业设计和以后工作打下一个良好的基础。 以MCS-51系列单片机为核心器件,组成一个数字温度计,采用数字温度传感器DS18B20为检测器件,进行单点温度检测,检测精度为?摄氏度。温度显示采用3位LED数码管显示,两位整数,一位小数。具有键盘输入上下限功能,超过上下限温度时,进行声音报警。 二、基本原理 原理简述:数字温度传感器DS1820把温度信息转换为数字格式;通过“1-线协议”,单片机获取指定传感器的数字温度信息,并显示到显示设备上。通过键盘,单片机可根据程序指令实现更灵活的功能,如单点检测、轮转检测、越限检测等。基于DS1820数字温度传感器的温度检测及显示的系统原理图如图 图基于DS1820的温度检测系统框图 三:主要器件介绍(时序图及各命令序列,温度如何计算等) 系统总体设计框图 由于DS18B20数字温度传感器具有单总线的独特优点,可以使用户轻松地组建起传感器网络,并可使多点温度测量电路变得简单、可靠,所以在该设计中采用DS18B20数字温度传感器测量温度。 测温电路设计总体设计框图如图所示,控制器采用单片机AT89S52,温度 传感器采用DS18B20,显示采用4位LED数码管,报警采用蜂鸣器、LED灯实 现,键盘用来设定报警上下限温度。

基于单片机温度计

单片机温度计 学院机械学院 班级 11机自创新1班 姓名安祥乐 学号 1110100221

摘要 (3) 1、引言 (3) 2 设计内容及性能指标 (4) 3 系统方案比较、设计与论证 (4) 3.1 主控制器模块 (4) 3.2 温度测量 (4) 3.3 设置温度 (5) 3.3 显示模块 (5) 3.4 电源选取 (6) 4 系统器件选择 (6) 5 硬件实现及单元电路设计 (7) 5.1 主控制模块 (7) 5.2 显示模块电路 (7) 5.3 数码管显示驱动电路 (8) 图6 驱动电路 (8) 5.4 温度传感器(DS18B20)电路 (8) 5.4.1 DS18B20基本介绍 (8) 5.4.2 DS18B20控制方法 (9) 5.4.3 DS18B20供电方式 (9) 6 系统软件设计 (10) 6.1 程序结构分析 (10) 6.2 系统程序流图 (10) 6.2.1 DS18B20初始化程序流程图 (11) 6.2.2 读温度子程序流程图 (12) 7 系统的安装与调试 (12) 7.1 安装步骤 (12) 7.2 电路的调试 (12) 结论 (13) 参考文献 (13) 附录1 整体电路原理图 (13) 附录2 部分源程序 (14)

单片机温度计 摘要:随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术, 本文主要介绍了一个基于STC89C52单片机的温度检测系统,详细描述了利用温度传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,对各部分的电路也一一进行了介绍,该系统可以方便的实现温度采集和显示,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度控制,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。DS18B20与STC89C52结合实现最简温度控制系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度的控制,有广泛的应用前景。 关键词:单片机;温度显示;STC89C52;DS18B20; 1、引言 随着科技的不断发展,现代社会对各种信息参数的准确度和精确度的要求都有了几何级的增长,而如何准确而又迅速的获得这些参数就需要受制于现代信息基础的发展水平。在三大信息信息采集(即传感器技术)、信息传输(通信技术)和信息处理(计算机技术)中,传感器属于信息技术的前沿尖端产品,尤其是温度传感器技术,在我国各领域已经引用的非常广泛,可以说是渗透到社会的每一个领域,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法具有重要的意义。测量温度的关键是温度传感器,温度传感器的发展经历了三个发展阶段: ①传统的分立式温度传感器 ②模拟集成温度传感器 ③智能集成温度传感器。 目前的智能温度传感器(亦称数字温度传感器)是在20世纪90年代中期问世的,它是微电子技术、计算机技术和自动测试技术(ATE)的结晶,特点是能输出温度数据及相关的温度控制量,适配各种微控制器(MCU)。社会的发展使人们对传感器的要求也越来越高,现在的温度传感器正在基于单片机的基础上从模拟式向数字式,从集成化向智能化、网络化的方向飞速发展,并朝着高精度、多功能、总线标准化、高可靠性及安全性、开发虚拟传感器和网络传感器、研制单片测温系统等高科技的方向迅速发展,本文将介绍智能集成温度传感器DS18B20的结构特征及控制方法,并对以此传感器,STC89C52单片机为控制器构成的数字温度控制装置的工作原理及程序设计作了详细的介绍。其具有读数方便,方便控制,输

51单片机数字温度计汇编程序

ORG 0000H LJMP MAIN ORG 0100H ;********************************************* DAT BIT P1.0 TEMPER_L EQU 40H TEMPER_H EQU 41H A_BIT EQU 60H B_BIT EQU 61H C_BIT EQU 62H D_BIT EQU 63H ;***主程序**************************************** MAIN: MOV A,#7FH LCALL WRITE_1820 LCALL INIT_1820 LCALL GET_TEMPER LCALL CONVER LCALL DISPLAY LJMP MAIN ;***初始化db18b20**************************************************** INIT_1820: CLR EA INI10: SETB DAT MOV R2,#200 INI11: CLR DAT DJNZ R2,INI11 SETB DAT MOV R2,#30 INT12: DJNZ R2,INT12 CLR C ORL C,DAT JC INI10 MOV R6,#80 INI13: ORL C,DAT JC INI14 DJNZ R6,INI13 SJMP INI10

INI14: MOV R2,#240 INT15: DJNZ R2,INT15 RET ;**读温度子程序********************************************************* GET_TEMPER: MOV A,#0CCH LCALL WRITE_1820 MOV A,#44H LCALL WRITE_1820 SETB DAT LCALL DISPLAY LCALL INIT_1820 MOV A,#0CCH LCALL WRITE_1820 MOV A,#0BEH LCALL WRITE_1820 LCALL READ_1820 MOV TEMPER_L,A LCALL READ_1820 MOV TEMPER_H,A RET ;***写ds18b20的程序*********************************************************** WRITE_1820: CLR EA MOV R3,#8 WR11: SETB DAT MOV R4,#8 RRC A CLR DAT WR12: DJNZ R4,WR12 MOV DAT,C MOV R4,#30 WR13: DJNZ R4,WR13 DJNZ R3,WR11 SETB DAT RET ;***读ds18b20的程序********************************************************************* READ_1820:

相关文档
最新文档