电路实验报告要求总结报告,,实验报告

电路实验报告要求总结报告,,实验报告
电路实验报告要求总结报告,,实验报告

电路实验报告要求总结报告,,实验报告

电路实验报告要求同学您好:电路实验课已经结束,请按题目要求认真完成实验报告,并要仔细检查一遍,以免退回,具体要求如下:一、绘制电路图要工整、选取合适比例,元件参数标注要准确、完整。

二、计算题要有计算步骤、解题过程,要代具体数据进行计算,不能只写得数。

三、实验中测试得到的数据要用黑笔誊写在实验报告表格上,铅笔字迹清楚也可以,如纸面太脏要换新实验报告纸,在319房间买,钱交给姜老师。

四、绘制的曲线图要和实验数据吻合,坐标系要标明单位,各种特性曲线等要经过实验教师检查,有验收印章,曲线图必须经剪裁大小合适,粘附在实验报告相应位置上。

五、思考题要有自己理解实验原理后较为详尽的语言表述,如串联谐振的判定等,可以发挥,有的要画图说明,不能过于简单,不能照抄。

六、实验报告页眉上项目如学号、实验台号、实验室房间号、实验日期等不要漏填。

七、要有个人小结,叙述通过实验有哪些提高,有哪些教训,之所以作得好和作得差,要分析一下原因。同时提出建设性意见。

八、 5月17日下午3时以前班长(学委)交到综合楼323房间。

电路实验室 XX年5月10日

模板,内容仅供参考

三相交流电路实验报告1

中国石油大学(华东)现代远程教育 实验报告 课程名称:电工电子学 实验名称:三相交流电路 实验形式:在线模拟 +现场实践 提交形式:在线提交实验报告 学生姓名:赵军学号: 年级专业层次:14 春石油开采技术高起专 学习中心:江苏油田学习中心 提交时间:2014 年 6 月8 日

一、实验目的 1 . 练习三相交流电路中负载的星形接法。 2 . 了解三相四线制中线的作用。 二、实验原理 1 . 对称三相电路中线、相电压和线、相电流的关系,三相电路中,负载的连接分为星形连接和三角形连接两种。一般认为电源提供的是对称三相电压。 ( 1 )星形连接的负载如图1 所示: 图1 星形连接的三相电路 A、B、C表示电源端,N为电源的中性点(简称中点),N'为负载的中性点。无论是三线制或四线制,流过每一相负载的相电流恒等于与之相连的端线中的线电流: (下标I 表示线的变量,下标p 表示相的变量) 在四线制情况下,中线电流等于三个线电流的相量之和,即 端线之间的电位差(即线电压)和每一相负载的相电压之间有下列关系:

当三相电路对称时,线、相电压和线、相电流都对称,中线电流等于零,而线、相电压满足: ( 2 )三角形连接的负载如图2 所示: 其特点是相电压等于线电压: 线电流和相电流之间的关系如下: 当三相电路对称时,线、相电压和线、相电流都对称,此时线、相电流满足: 2 . 不对称三相电路 在三相三线制星形连接的电路中,若负载不对称,电源中点和负载中点的电位不再相等,称为中点位移,此时负载端各相电压将不对称,电流和线电压也不对称。 在三相四线制星形连接的电路中,如果中线的阻抗足够小,那么负载端各相电压基本对称,线电压也基本对称,从而可看出中线在负载不对称时起到了很重要的作用。但由于负载不对称,因此电流是不对称的三相电流,这时的中线电流将不再为零。 在三角形连接的电路中,如果负载不对称,负载的线、相电压仍然对称,但线、相电流不再 对称。 如果三相电路其中一相或两相开路也属于不对称情况。

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

电路仿真实验报告42016年度

电路仿真实验报告 实验一直流电路工作点分析和直流扫描分析 一、实验目的 (1)学习使用Pspice软件,熟悉它的工作流程,即绘制电路图、元件类别的选择及其参数的赋值、分析类型的建立及其参数的设置、Probe窗口的设置和分析的运行过程等。 (2)学习使用Pspice进行直流工作点的分析和直流扫描的操作步骤。 二、原理与说明 对于电阻电路,可以用直观法列些电路方程,求解电路中各个电压和电流。Pspice软件是采用节点电压法对电路进行分析的。 使用Pspice软件进行电路的计算机辅助分析时,首先编辑电路,用Pspice的元件符号库绘制电路图并进行编辑。存盘。然后调用分析模块、选择分析类型,就可以“自动”进行电路分析了。 三、实验示例 1、利用Pspice绘制电路图如下 2、仿真 (1)点击Psipce/New Simulation Profile,输入名称; (2)在弹出的窗口中Basic Point是默认选中,必须进行分析的。点击确定。 (3)点击Pspice/Run(快捷键F11)或工具栏相应按钮。 (4)如原理图无错误,则显示Pspice A/D窗口。

(5)在原理图窗口中点击V,I工具栏按钮,图形显示各节点电压和各元件电流值如下。 四、选做实验 1、直流工作点分析,即求各节点电压和各元件电压和电流。 2、直流扫描分析,即当电压源的电压在0-12V之间变化时,求负载电阻R l中电流虽电压源的变化

曲线。 曲线如图: 直流扫描分析的输出波形3、数据输出为: V_Vs1 I(V_PRINT1) 0.000E+00 1.400E+00 1.000E+00 1.500E+00 2.000E+00 1.600E+00 3.000E+00 1.700E+00 4.000E+00 1.800E+00 5.000E+00 1.900E+00 6.000E+00 2.000E+00 7.000E+00 2.100E+00 8.000E+00 2.200E+00 9.000E+00 2.300E+00 1.000E+01 2.400E+00 1.100E+01 2.500E+00 1.200E+01 2.600E+00

电路仿真实验报告要求

电路计算机仿真分析 实验指导 武汉大学电气工程学院 电工仿真实验室 2006.11 PSPICE 简介 PSPICE 简介 1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE(Personal-SPICE).可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用.它可以进行各种各样的电路仿真,激励建立,温度与噪声分析,模拟控制,波形输出,数据输出,并在同一窗口内同时显示模拟与数字的仿真结果.无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库. 在目的个人电脑广使用的向用的商用仿真软件中,以Pspice A/D系列最受人众欢迎. PSPICE 是面向PC 机的通用电路仿真软件, 该软件具有强大的电路图绘制功能,电路模拟仿真功能,图形后处理功能和元器件符号制作功能,模拟仿真快速准确,并提供了良好的人机交互环境,操作方便,易学易用.软件的用途非常广泛,不仅可用于电路分析和优化设计,还可用于电子线路,电路,信号与系统等课程的计算机辅助教学.与印刷线路板设计软件配合使用,还可以实现电子设计自动化.这些特点使得PSPICE 受到广大电子设计工作者,科研人员和高校师生的热烈欢迎,国内许多高校已将PSPICE 列入电子类本科生和硕士生的辅修课程. PSPICE 软件在国外非常流行.在大学里,它是工科类学生必会的分析与设计电路的工具;在公司中,它是产品从设计,实验到定型过程中不可缺少的设计工具.世界各国的半导体元件公司为它提供了上万种模拟和数字元件组成的元件库,使PSPICE 软件的仿真更可信,更真实. PSPICE 软件几乎完全取代了电路和电子电路实验中的元件,面包板,信号源,示波器和万用表.有了PSPICE 软件就相当有了电路和电子学实验室. PSPICE 的功能 PSPICE 用于模拟电路,数字电路及模数混合电路的分析以及电路的优化设计. PSPICE 的分析功能主要体现在以下几方面: 直流分析:当电路中某一参数(称为自变量)在一定范围内变化时,对自变量的每一个取值,计算电路的直流偏置特性(称为输出变量). 交流分析:作用是计算电路的交流小信号频率响应特性. 噪声分析:计算电路中各个器件对选定的输出点产生的噪声等效到选定的输入源(独立的电压或电流源)上.即计算输入源上的等效输入噪声. 瞬态分析:在给定输入激励信号作用下,计算电路输出端的瞬态响应. 基本工作点分析:计算电路的直流偏置状态. 蒙特卡罗统计分析:为了模拟实际生产中因元器件值具有一定分散性所引起的电路特性分散性,PSpice提供了蒙特卡罗分析功能.进行蒙特卡罗分析时,首先根据实际情况确定元器件值分布规律,然后多次"重复"进行指定的电路特性分析,每次分析时采用的元器件值是从元器件

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

电工电子学实验报告_实验三_三相交流电路.doc

一、实验目的 1.学习三相交流电路中三相负载的连接。 2.了解三相四线制中线的作用。 3.掌握三相电路功率的测量方法。 二、主要仪器设备 1.实验电路板 2.三相交流电源 3.交流电压表或万用表 4.交流电流表 5.功率表 6.单掷刀开关 7.电流插头、插座 三、实验内容 1.三相负载星形联结 按图 3-2 接线,图中每相负载采用三只白炽灯,电源线电压为220V。 图3-2 三相负载星形联结 (1) 测量三相四线制电源的线电压和相电压,记入表3-1( 注意线电压和相电压的关系) 。 U UV/V U VW/V U WU/V U UN/V U VN/V U WN/V 219218 220127 127127 表 3-1 (2)按表 3-2 内容完成各项测量,并观察实验中各白炽灯的亮度。表中对称负载时为每相开亮三 只灯;不对称负载时为 U相开亮一只灯, V 相开亮两只灯, W相开亮三只灯。 测量值相电压相电流中线电流中点电压负载情况U UN’ /V U VN’ /V U WN’ /VI U/AI V/AI W/A I N/A U N’N/V 对称有中线124 124 124 0 负载无中线125 125 123 1 不对称有中线126 125 124

负载 无中线 167 143 78 50 表 3-2 2. 三相负载三角形联结 按图 3-3 连线。测量功率时可用一只功率表借助电流插头和插座实现一表两用, 具体接法见图 3-4 所示。接好实验电路后,按表 3-3 内容完成各项测量,并观察实验中白炽灯的亮度。表中对称负载和不 对称负载的开灯要求与表 3-2 中相同。 图 3-3 三相负载三角形联结 图 3-4 两瓦特表法测功率 测量值 线电流 (A) 相电流 (A) 负载电压 (V) 功率 (W) 负载情况 I U I V I W I UV I VW I WU UV VW WU 1 2 U U U P P 对称负载 213 212 215 -111 -109 不对称负载 220 217 216 表 3-3

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

三相交流电路实验报告-百度文库(精)

三相交流电路实验报告-百度文库(精)

中国石油大学(华东)现代远程教育 实验报告 课程名称:电工电子学 实验名称:三相交流电路 实验形式:在线模拟+现场实践 提交形式:在线提交实验报告 学生姓名:毕义合学号:12952112061 年级专业层次:网络12春高起专 学习中心:建设工程分院函授站 提交时间: 2013 年 6 月 23 日

一、实验目的 1. 练习三相交流电路中负载的星形接法。 2. 了解三相四线制中线的作用。 二、实验原理 1. 对称三相电路中线、相电压和线、相电流的关系,三相电路中,负载的连接分为星形连接和三角形连接两种。一般认为电源提供的是对称三相电压。 (1)星形连接的负载如图1所示: 图1 星形连接的三相电路

A、B、C表示电源端,N为电源的中性点(简称中点),N' 为负载的中性点。无论是三线制或四线制,流过每一相负载的相电流恒等于与之相连的端线中的线电流: (下标I表示线的变量,下标p表示相的变量) 在四线制情况下,中线电流等于三个线电流 的相量之和,即 端线之间的电位差(即线电压)和每一相负载的相电压之间有下列关系: 当三相电路对称时,线、相电压和线、相电流都对称,中线电流等于零,而线、相电压满足: (2)三角形连接的负载如图2所示:

其特点是相电压等于线电压: 线电流和相电流之间的关系如下: 当三相电路对称时,线、相电压和线、相电 流都对称,此时线、相电流满足: 2.不对称三相电路 在三相三线制星形连接的电路中,若负载不对称,电源中点和负载中点的电位不再相等,称

为中点位移,此时负载端各相电压将不对称,电流和线电压也不对称。 在三相四线制星形连接的电路中,如果中线的阻抗足够小,那么负载端各相电压基本对称,线电压也基本对称,从而可看出中线在负载不对称时起到了很重要的作用。但由于负载不对称,因此电流是不对称的三相电流,这时的中线电流将不再为零。 在三角形连接的电路中,如果负载不对称,负载的线、相电压仍然对称,但线、相电流不再对称。 如果三相电路其中一相或两相开路也属于不对称情况。 3.三相负载接线原则 连接后加在每相负载上的电压应等于其额定

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

相电路实验报告

实验一 一、实验名称 三相电路不同连接方法的测量 二、实验目的: 1. 理解三相电路中线电压与相电压、线电流与相电流之间的关系。 2. 掌握三相电路的正确连接方法与测量方法。 三、实验原理 1.三相电路 三相电路在生产上应用最为广泛,发电和输配电一般都采用三相制。在用电方面,许多负载是三相的或连接成三相形式的,如三相交流电动机。 三相电路是由三相电源供电的电路。三个频率相同且随时间按正弦函数变换的电动势,如果每相电动势的振幅相等,相位依次相差120o,则称为三相电动势。产生对称三相电动势且各阻抗相等的电源称为对称电源。当三相电动势的相序依次为U相、V相和W相时,称为正序或顺序,反之称为负序或逆序。本实验在三相电源的相序为正序的情况下进行测量。 三相电源由DDSZ-1型实验台台面左侧的DD01三相调压交流电源提供。如下图所示。

在三相电路中,负载一般也是三相的,即由三个部分组成,每一部分称为一个相。如三相负载各相阻抗值相同,则称为对称三相负载。三相负载有两种连接方式:星形联结和三角形联结。 在三相电路中,电源或负载各相的电压称为相电压,端线之间的电压称为线电压;流过电源或负载各相的电流称为相电流,流过各端线的电流称为线电流。星形联结时,各相电压源的负极连在一起称为三相电源的中性点或零点。各相负载的一端接在一起称为负载的中性点或零点。电源的中性点与负载中性点的连线称为中性线或零线。流过中性线的电流称为中性线电流。 2.三相负载的星形联结(三相四线制) 3.三相负载的三角形联结

ou 负载为三角形联结时,线电压等于相电压。当电源与负载对称时,线电流和相电流在数值上的关系为 L P I 。 四、实验设备 1.DDSZ-1型电机及电气技术实验装置 2.D42三相可调电阻器 3.D33交流电压表 4.D32交流电流表 五、实验内容与步骤 1. 组接实验电路; 2. 三相四线制,三相负载为星形联结时,分别测量线电压、相电压、线电流、相电流,记录实验数据。 3. 三相三线制,三相负载为星形联结时,分别测量线电压、相电压、线电流、相电流,记录实验数据。 表5-2

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

电路实验报告

目录实验一电位、电压的测定及电路电位图的绘制实验二基尔霍夫定律的验证 实验三线性电路叠加性和齐次性的研究 实验四受控源研究 实验六交流串联电路的研究 实验八三相电路电压、电流的测量 实验九三相电路功率的测量

330口 R B 1— 1 2. 电路中相邻两点之间的电压值 在图1 — 1中,测量电压U AB :将电压表的红笔端插入 A 点,黑笔端插入B 点,读电压表读数,记入表 1 — 1中。按同样方法测量 U BC 、U CD 、U DE 、U EF 、及U FA ,测量数据记入表1 — 1中。 实验一 电位、电压的测定及电路电位图的绘制 1.学会测量电路中各点电位和电压方法。理解电位的相对性和电压的绝对性; 2?学会电路电位图的测量、绘制方法; 3.掌握使用直流稳压电源、直流电压表的使用方法。 .原理说明 在一个确定的闭合电路中, 各点电位的大小视所选的电位参考点的不同而异, 但任意两点之间的电 压(即两点之间的电位差)则是不变的,这一性质称为电位的相对性和电压的绝对性。据此性质,我们 可用一只电压表来测量出电路中各点的电位及任意两点间的电压。 若以电路中的电位值作纵坐标, 电路中各点位置(电阻或电源)作横坐标, 将测量到的各点电位在 该平面中标出,并把标出点按顺序用直线条相连接, 就可得到电路的电位图, 每一段直线段即表示该两 点电位的变化情况。而且,任意两点的电位变化,即为该两点之间的电压。 在电路中,电位参考点可任意选定, 对于不同的参考点, 所绘出的电位图形是不同,但其各点电位 变化的规律却是一样的。 三.实验设备 1.直流数字电压表、直流数字毫安表 2 .恒压源(EEL — I 、II 、III 、IV 均含在主控制屏上,可能有两种配置( 1) +6V ( +5V ) , +12 V , 0? 30V 可调或(2)双路0?30V 可调。) 四.实验内容 实验电路如图1 — 1所示,图中的电源U S 1用恒压源中的+6V (+5V )输出端, 输出端,并将输出电压调到 +12V 。 U S2用0?+30V 可调电源 1.测量电路中各点电位 以图1 — 1中的A 点作为电位参考点,分别测量 B 、C 、 用电压表的黑笔端插入 A 点,红笔端分别插入 B 、C 、 以D 点作为电位参考点,重复上述步骤,测得数据记入表 D 、E 、F 各点的电位。 D 、 E 、 F 各点进行测量,数据记入表 1 — 1 中。 1 — 1 中。 5100 S3 VCU 5100 5ion R4

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

(完整版)直流稳压电源电路的设计实验报告

直流稳压电源电路的设计实验报告 一、实验目的 1、了解直流稳压电源的工作原理。 2、设计直流稳压电路,要求输入电压:220V市电,50Hz,用单变压器设计并制作能够输出一组固定+15V输出直流电压和一组+1.2V~+12V连续可调的直流稳压电源电路,两组输出电流分别I O≥500mA。 3、了解掌握Proteus软件的基本操作与应用。 二、实验线路及原理 1、实验原理 (1)直流稳压电源 直流稳压电源是一种将220V工频交流电转换成稳压输出的直流电的装置,它需要变压、整流、滤波、稳压四个环节才能完成。一般由电源变压器、整流滤波电路及稳压电路所组成,基本框图如下: 图2-1 直流稳压电源的原理框图和波形变换 其中: 1)电源变压器:是降压变压器,它将电网220V交流电压变换成符合需要的交流电压,并送给整流电路,变压器的变比由变压器的副边电压确定,变压器副边与原边的功率比为P2/P1=n,式中n是变压器的效率。 2)整流电路:利用单向导电元件,把50Hz的正弦交流电变换成脉动的直流电。 3)滤波电路:可以将整流电路输出电压中的交流成分大部分加以滤除,从而得到比较平滑的直流电压。滤波电路滤除较大的波纹成分,输出波纹较小的直流电压U1。 4)稳压电路:其工作原理是利用稳压管两端的电压稍有变化,会引起其电流有较大变化这一特点,通过调节与稳压管串联的限流电阻上的压降来达到稳定输出电压的目的。稳压电路的功能是使输出的直流电压稳定,不随交流电网电压和负载的变化而变化。 (2)整流电路 常采用二极管单相全波整流电路,电路如图2-2所示。在u2的正半周内,二极管D1、D2导通,D3、D4截止;u2的负半周内,D3、D4导通,D1、D2截止。正负半周内部都有电流流过的负载电阻RL,且方向是一致的。电路的输出波形如图2-3所示。 t

三相交流电路-电工电子学实验报告

实验报告 课程名称:电工电子学指导老师:张伯尧成绩:___ _ 实验名称:三相交流电路 一、实验目的和要求二、实验设备 三、实验内容四、实验结果 五、心得 一、实验目的 一、实验目的 1.学习三相交流电路中三相负载的连接。 2.了解三相四线制中线的作用。 3. 掌握三相电路功率的测量方法。 二、主要仪器设备 1. 实验电路板 2. 三相交流电源(220V) 3. 交流电压表或万用表 4. 交流电流表 5. 功率表 6. 单掷刀开关 7. 电流插头、插座 三、实验内容 1. 三相负载星形联结 按图1接线,图中每相负载采用三只白炽灯,电源线电压为220V。 图1

1) 测量三相四线制电源各电压(注意线电压和相电压的关系)。 U UV/V U VN/V U WU/V U UN/V U VN/V U WN/V 217.0218.0217.0127.0127.0127.3 表1 2)按表2内容完成各项测量,并观察实验中各电灯的亮度。表中对称负载时为每相开亮三 只灯;不对称负载时为U相开亮1只灯,V相开亮2只灯,W相开亮3只灯。 测量值 负载情况相电压相电流中线电 流 中点电 压 U UN’/V U VN’/V U WN’/V I U/A I V/A I W/A I N/A U N’N/V 对称负载有中线1241241240.26 3 0.26 3 0.26 5 00 无中线126.1126.8126.50.26 3 0.26 3 0.26 6 0 1.1 不对称负载有中线1241251240.09 2 0.17 6 0.26 6 0.1560 无中线168144770.10 5 0.18 8 0.21 6 051.9 表2 2. 三相负载三角形联结 按图2接线。测量功率时可用一只功率表借助电流插头和插座实现一表两用,具体接法见图3所示。接好实验电路后,按表3内容完成各项测量,并观察实验中电灯的亮度。 表3中对称负载和不对称负载的开灯要求与表2中相同。 三相负载三角形联结记录数据

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

基于multisim的晶闸管交流电路仿真实验分析报告

基于multisim的晶闸管交流电路仿真实验报告

————————————————————————————————作者:————————————————————————————————日期:

自动化(院、系)自动化专业112 班组电力电子技术课 学号21 姓名易伟雄实验日期2013.11.24 教师评定 实验一、基于Multisim的晶闸管交流电路仿真实验 一、实验目的 (1)加深理解单相桥式半控整流电路的工作原理。 (2)了解晶闸管的导通条件和脉冲信号的参数设置。 二、实验内容 2.1理论分析 在单相桥式半控整流阻感负载电路中,假设负载中电感很大,且电路已工作于稳态。在u2正半周,触发角α处给晶闸管VT1加触发脉冲,u2经VT1和VD4向负载供电。u2过零变负时,因电感作用使电流连续,VT1继续导通。但因a点电位低于b点电位,使得电流从VD4转移至VD2,VD4关断,电流不再流经变压器二次绕组,而是由VT1和VD2续流。此阶段,忽略器件的通态压降,则ud=0,不会像全控桥电路那样出现ud为负的情况。 在u2负半周触发角α时刻触发VT3,VT3导通,则向VT1加反压使之关断,u2经VT3和VD2向负载供电。u2过零变正时,VD4导通,VD2关断。VT3和VD4续流,ud又为零。此后重复以上过程。 2.2仿真设计

(院、系)专业班组课学号姓名实验日期教师评定 触发脉冲的参数设计如下图

(院、系)专业班组课学号姓名实验日期教师评定 2.3仿真结果 当开关S1打开时,仿真结果如下图

(院、系)专业班组课学号姓名实验日期教师评定 三、实验小结与改进 此次实验在进行得过程中遇到了很多的问题,例如:触发脉冲参数的设置,元器件的选择等其中。还有一个问题一直困扰着我,那就是为什么仿真老是报错。后来,通过不断在实验中的调试发现,这是因为一些元器件的参数设置过小,导致调试出错。总的来说,这次实验发现了很多问题,但在反复的调试下,最后我还是完成了实验。同时,也让我认识到实践比理论更难掌握。通过不断的发现问题,然后逐一解决问题,最后得出自己的结论,我想实验的乐趣就在于此吧。 而对于当开关S1打开时的实验结果,这是因为出现了失控现象。我从书中发现:当一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半波,即半周期ud 为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形 另外,在实验过程中,我们如果进行一些改进:电路在实际应用中可以加设续流二极管,以避免可能发生的失控现象。实际运行中,若无续流二极管,则当α突然增大至180度或触发脉冲丢失时,会发生一个晶闸管持续导通而二极管轮流导通的情况,这使ud成为正弦半,即半周期ud为正弦,另外半周期ud为零,其平均值保持恒定,相当于单相半波不可控整流电路时的波形。有二极管时,续流过程由二极管完成,在续流阶段晶闸管关断,这就避免了某一个晶闸管持续导通从而导致失控的想象。同时续流期间导电回路中只有一个管压降,少了一个管压降,有利于降低损耗。

北邮AGC电路实验报告

自动增益控制(AGC)电路的设计 与实现 实验报告 姓名: 班内序号: 学号: 学院: 班级:

一.课题名称:自动增益控制电路的设计与实现 二.实验目的 1.了解AGC(自动增益控制)的自适应前置放大器的应用; 2.掌握AGC电路的一种实现方法; 3.提高独立设计电路和验证实验的能力。 三.实验摘要 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小范围内变化的特殊功能电路,简称为 AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词: 自动增益控制,直流耦合互补级,电压跟随器,反馈 四.设计任务要求 1.基本要求: 设计一个AGC电路,要求设计指标以及给定条件为: ·输入信号:0.5~50mVrms; ·输出信号:0.5~1.5Vrms; ·信号带宽:100~5KHz。 2.提高要求: 设计一种采用其他方式的AGC电路。 五.设计思路和总体结构框图 设计思路 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况;另外,在其他应用中,如监控系统中的多个相同传感器返回的信号中,频谱结构和动态范围大体相似,而最大波幅却相差很多。此时,可以使用带自动增益控制的自适应前置放大器,使其增益应能随信号强弱而自动调整,以保持输出相对稳定。 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA)以及检波整流控制组成,本实验中电路采用了短路双极晶体管直接进行小信号控制的方法,从而简单而有效的实现AGC功能。 在下图1中,可变分压器由一个固定电阻R 1 和一个可变电阻构成,控制信号的交流振幅。可变电阻由采用基极—集电极短路方式的双极晶体管微分电阻实 现,为改变Q 1的电阻,可从一个有电压源V 2 和大阻值电阻R 2 组成的电流源直接 向短路晶体管注入电流。为防止R 2影响电路的交流电压传输特性,R 2 的阻值必须 远大于R 1 。

交流谐振电路(电脑仿真)实验报告模板

实验时间:2019年月日,第批 签到序号:【进入实验室后填写】 福州大学 【实验八】交流谐振电路 (信息技术实验中心209实验室) 学院 班级 学号 姓名 实验前必须完成【实验预习部分】 登录下载预习资料 携带学生证提前10分钟进实验室

实验预习部分【实验目的】 【实验仪器】(名称) 【实验原理】(文字叙述、主要公式、原理图)

实验预习部分【实验内容和步骤】

实验预习部分 【1】写出示波器以下功能对应的标号 电源开关:,聚焦:,辉度:, 垂直方式开关:,水平位移:,垂直位移:与,【2】示波器校准信号为峰峰值4 V、1 KHz的方波,校准时垂直偏转灵敏度(衰减器开关10/15)应设定为V/DIV,并调节垂直微调旋钮(14/19)让波形垂直方向占大格,扫描时间因数(20)选择ms/DIV,并调节扫描微调(24)让一个波形周期水平方向占大格。 【3】R LC串联谐振电路,当信号源频率与谐振频率相同时,电流与信号源电压位相差;当信号源频率小于谐振频率时,电流位相于信号源电压位相,整个电路呈性;当信号源频率大于谐振频率时,电流位相于信号源电压位相,整个电路呈性。 【4】用示波器器观察和两波形,调节信号源频率,当示波器上显示的两列波时信号源频率为RLC串联电路谐振频率(注2)。 注1:示波器仪器介绍中校准信号为峰峰值2 V,但是仿真实验中是作为峰峰值4 V来校准。 注2:当示波器同时显示两路波形时,按“X-Y”按键(30)两次后两波形按照相同时序显示。

数据记录与处理 观测RLC串联谐振电路的特性 信号源峰峰值:; 电阻取值:,电感取值:,电容取值:; 谐振频率计算值:,品质因数计算值:。 谐振频率测量值 f:。

相关文档
最新文档