EDA实验 正弦波信号发生器设计

EDA实验 正弦波信号发生器设计
EDA实验 正弦波信号发生器设计

实验八正弦信号发生器的设计

一、实验目的

1、学习用VHDL设计波形发生器和扫频信号发生器。

2、掌握FPGA对D/A的接口和控制技术,学会LPM_ROM在波形发生器设计中的实用方法。

二、实验仪器

PC机、EDA实验箱一台

Quartus II 6.0软件

三、实验原理

如实验图所示,完整的波形发生器由4部分组成:

? FPGA中的波形发生器控制电路,它通过外来控制信号和高速时钟信号,向波形数据ROM 发出地址信号,输出波形的频率由发出的地址信号的速度决定;当以固定频率扫描输出地址时,模拟输出波形是固定频率,而当以周期性时变方式扫描输出地址时,则模拟输出波形为扫频信号。

?波形数据ROM中存有发生器的波形数据,如正弦波或三角波数据。当接受来自FPGA的地址信号后,将从数据线输出相应的波形数据,地址变化得越快,则输出数据的速度越快,从而使D/A输出的模拟信号的变化速度越快。波形数据ROM可以由多种方式实现,如在FPGA外面外接普通ROM;由逻辑方式在FPGA中实现(如例6);或由FPGA中的EAB模块担当,如利用LPM_ROM实现。相比之下,第1种方式的容量最大,但速度最慢;,第2种方式容量最小,但速度最最快;第3种方式则兼顾了两方面的因素;

? D/A转换器负责将ROM输出的数据转换成模拟信号,经滤波电路后输出。输出波形的频率上限与D/A器件的转换速度有重要关系,本例采用DAC0832器件。

DAC0832是8位D/A转换器,转换周期为1μs,其引脚信号以及与FPGA目标器件典型的接口方式如附图2-7所示。其参考电压与+5V工作电压相接(实用电路应接精密基准电压)。DAC0832的引脚功能简述如下:

?ILE(PIN 19):数据锁存允许信号,高电平有效,系统板上已直接连在+5V上。

?WR1、WR2(PIN 2、18):写信号1、2,低电平有效。

?XFER(PIN 17):数据传送控制信号,低电平有效。

?VREF(PIN 8):基准电压,可正可负,-10V~+10V。

?RFB(PIN 9):反馈电阻端。

?IOUT1/ IOUT2(PIN 11、12):电流输出1和2 。D/A转换量是以电流形式输出的,所以必须如实验结构图NO.5所示的连接方式将电流信号变为电压信号。

?AGND/DGND(PIN 3、10):模拟地与数字地。在高速情况下,此二地的连接线必须尽可能短,且系统的单点接地点须接在此连线的某一点上。

本次实验中的正弦波波型数据由64个点构成,此数据经DAC0832,并经滤波器后,可在示波器上观察到光滑的正弦波(若接精密基准电压,可得到更为清晰的正弦波形)。

四、实验内容

1:根据例6-9,6-5及以上的设计原理,完成正弦波信号发生器的设计,仿真测试及实验系统上的硬件测试。

硬件实验中注意DAC0832及滤波电路须接+/-12V 电压。然后将实验系统左下角选择插针处用短路帽短路“D/A直通”,而“滤波1”,“滤波0”处通过短路或不接短路帽达到不同的滤波方式。将示波器的地与EDA实验系统的地相接,信号端与“AOUT”信号输出端相接;建议CLK接clock0,由此接“1024Hz”,选电路模式5;如果目标器件是EPIK30TC144,则对应的引脚是72、70、69、68、67、65、42、41。时钟clk接系统的clock0,引脚是126脚。

1、代码编写,具体参考教材中相关章节。

2、波形仿真。综合编译成功后,建立波形文件进行波形仿真,启动仿真器Simulator,

观察输出波形的情况,在软环境下验证设计的正确性。

3、引脚锁定和程序下载。参选实验电路模式5和附表一中的FLEX10K20 EP1K30/50144-PIN TQFP目标芯片,确定输入引脚并在开发环境中进行引脚锁定操作后重新综

合编译,成功后进行下载操作。

六、实验报告:

作出本项实验设计的完整电路图,详细说明其工作原理,叙述例7-4的工作原理,以及

基于LPM_ROM的VHDL电路设计的详细内容和测试、实验内容。

1、设计流程图。

2、VHDL代码。

3、仿真波形图。

4、硬件测试数据表。

5、分析实验结果。

6、心得体会。

七、实验思考题:

如果CLK的输入频率是50MHz,ROM中一个周期的正弦波数据是128个,要求输出的正弦波频

率不低于150KHz,DAC0832是否能适应此项工作?为什么?

附表一是GW48CK/GK/PK系统(万能接插口与结构图信号/与芯片引脚对照表)

函数信号发生器的设计 EDA课程设计

摘要 本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。最后还附加了实现设计的VHDL源程序。 关键词:VHDL D/A接口设计

绪论 EDA是电子设计自动化(Electronic Design Automation)的缩写。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言 HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步。EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。 硬件描述性语言HDL是EDA技术的重要组成部分,常见HDL的有VHDL、HDL、ABEL、Verilog、AHDL、SystemC等。其中VHDL、Verilog在现在的EDA 设计中使用的最多,也拥有了几乎所有主流EDA工具的支持,而相对于其他语言VHDL更加完善。VHDL是英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,是硬件描述语言的业界标准之一。它作为一个规范语言和建模语言,具有与具体硬件电路无关及设计平台无关的特性,而且还有很强的电路行为描述和建模能力,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和可靠性。 D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。AD558是并行8位D/A转换芯片,应用CPLD可以完成对AD558的控制。CPLD 与CPLD结合之后可以完成函数发生器的基本功能:波形输出。要实现这种结合就需要应用VHDL语言完成D/A接口的设计。通过合适的VHDL语言可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出。

正弦波-方波-三角波信号发生器设计要点

苏州科技学院天平学院 模拟电子技术课程设计指导书 课设名称正弦波-方波-三角波信号发生器设计 组长李为学号1232106101 组员谢渊博学号1232106102 组员张翔学号1232106104 专业电子物联网 指导教师 二〇一二年七月 模拟电子技术课程设计指导书

一设计课题名称 正弦波-方波-三角波信号发生器设计 二课程设计目的、要求与技术指标 2.1课程设计目的 (1)巩固所学的相关理论知识; (2)实践所掌握的电子制作技能; (3)会运用EDA工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计;(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则; (5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题; (6)学会撰写课程设计报告; (7)培养实事求是,严谨的工作态度和严肃的工作作风; (8)完成一个实际的电子产品,提高分析问题、解决问题的能力。 2.2课程设计要求 (1)根据技术指标要求及实验室条件设计出电路图,分析工作原理,计算元件参数;(2)列出所有元器件清单; (3)安装调试所设计的电路,达到设计要求; 2.3技术指标 (1)输出波形:方波-三角波-正弦波; (2)频率范围:100HZ~200HZ连续可调;

(3)输出电压:正弦波-方波的输出信号幅值为6V.三角波输出信号幅值为0~2V连续可调; γ。 (4)正弦波失真度:% ≤ 5 三系统知识介绍 3 函数发生器原理 本设计要求产生三种不同的波形分别为正弦波\方波\ 三角波。实现该要求有多种方案。 方案一:首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波。 方案二:首先产生方波——三角波,再将方波变成正弦波或将三角波变成正弦波。 3.1函数发生器的各方案比较 我选的是第一个方案,上述两个方案均可以产生三种波形。方案二的电路过多连接部方便而且这样用了很多元器件,但是方案的在调节的时候比较方便可以很快的调节出波形。方案一电路简洁利于连接可以节省元器件,但是在调节波形的时候会比较费力,由于整个电路时一起的只要调节前面部分就会影响后面的波形。 四电路方案与系统、参数设计 4.1基于集成运算放大器与晶体管差分放大器的函数发生器 4.1.1设计思路 我们组总体设计思路为:先通过比较器产生方波,方波通过积分器产生三角波,三角波通过差分放大器产生正弦波。 函数发生器电路组成框图如下所示

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

基于FPGA的正弦信号发生器

基于FPGA的正弦信号发生器设计 摘要:本设计结合了EDA技术和直接数字频率合成(DDS)技术。EDA技术是现代电子设计技术的核心,是以电子系统设计为应用方向的电子产品自动化的设计技术。DDS技术则是最为先进的频率合成技术,具有频率分辨率高、频率切换速度快、相位连续、输出相位噪声低等诸多优点。 本文在对现有DDS技术的大量文献调研的基础上,提出了符合FPGA结构的正弦信号发生器设计方案并利用MAXPLUSⅡ软件进行了设计实现。文中介绍了EDA技术相关知识,同时阐述了DDS技术的工作原理、电路结构,及设计的思路和实现方法。经过仿真测试,设计达到了技术要求。 关键词:现场可编程门阵列(FPGA);直接数字频率合成(DDS);正弦波信号发生器

The design of sine signal generating device based on FPGA Abstract:The design that combines EDA technology and Direct Digital Synthesis (DDS) technology. EDA technology is the design of modern electronic technology at the core, electronic system design direction for the application of electronic design automation products technology. DDS technology is the most advanced frequency synthesizer technology with the high-frequency resolution and frequency switching speed, continuous phase, low phase noise output many advantages. Based on the technology of existing DDS study of the extensive literature on the basis of FPGA with the structure of the sinusoidal signal generator design and the use of FPGA II software located Total realized. The paper introduced the EDA technology-related knowledge, and elaborated on the DDS technology principle, circuit structure, and design ideas and methods. After simulation tests designed to achieve the technical requirements. Keywords:FPGA;DDS;sine signal generating device

eda课程设计 信号发生器

目录 1. 引言 (1) 2. VHDL语言及Quartus II软件介绍 (2) 2.1 VHDL语言 (2) 2.2 Quartus II软件 (2) 3.总体设计思想及流程 (3) 4. 具体程序实现模块 (4) 4.1倍频器模块 (4) 4.2主程序模块 (4) 4.3 波形显示模块 (5) 4.4频率显示模块 (5) 5. 软件仿真 (6) 6. 硬件显示 (7) 7. 总结与体会 (8) 参考文献 (9) 附录 (10) 附录1. 整体系统原理图 (10) 附录2. 主程序 (11)

1. 引言 信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。简易信号发生器是信号发生器的一种。可以实现信号发生器的一些基本功能。本次课程设计要求设计的是一种简易信号发生器。 在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波。且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波; (2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、 1KHz~10KHz、10KHz~1MHz三档频率的信号; (3)要求具有波形选择和频率选择的功能; (4)在同一频率档内,可实现频率的加减; (5)要求显示波形的同时能够进行频率的调节; (6)要求能够显示波形:A——正弦波;B——三角波;C——方波; (7)要求能够显示频率值; (8)可用示波器进行波形的观测。

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

正弦信号发生器

正弦信号发生器[2005年电子大赛一等奖] 2008年06月15日星期日 17:06 摘要:以SPCE061A单片机为核心,通过DDS合成技术设计制作了一个步进值能任意调节的多功能信号源。该信号源在1KHz~10MHz范围能输出稳定可调的正弦波,并具有AM、FM、ASK和PSK等调制功能。信号输出部分采用低损耗电流反馈型宽带运放作电压放大,很好地解决了带宽和带负载能力的要求。系统带中文显示和键盘控制功能,操作简便,实现效果良好。 一、方案论证 1、信号产生 方案一:使用传统的锁相频率合成的方法。要求产生1KHz到10MHz的信号,用锁相环直接产生这么宽的范围很困难,所以先产生50.001M到60M的可调信号,然后把此信号与一个50M的本振混频,得到需要的频率。此方法产生的频率稳定度高,但波形频谱做纯很困难,幅度也不恒定,实现也麻烦。 方案二:采用专用DDS芯片产生正弦波。优点:软件设计,控制方便,电路易实现,容易直接达到题目要求的频率范围和步进值,且稳定性和上法一样,频谱纯净,幅度恒定,失真小。 综上所述,选择方案二用专用DDS芯片AD9850产生正弦波。AD9850是采用DDS技术、高度集成化的器件,当它在并行工作方式时,有8根数据线、3根控制线与单片机相连。AD9850的频率控制字为: 其中FTW为频率控制字,为要输出的正弦的频率,为系统时钟的频 率,由晶振产生。 2、模拟频率调制 方案一:使用内调制(软件调制),通过单片机中断,对外来模拟调制信号进行采样,采样速率为32KHz,然后对采样值进行转换,把电压转换成对应的频偏,然后转换成相应的频率控制字送DDS,以实现对1KHz正弦信号的调频,这样可以满足最大频偏的精度要求。 方案二:使用外调制,通过锁相环控制DDS总时钟,在锁相环电路中进行频率调制,来改变DDS输出信号频率,间接实现调频,这样实现简单,频域内频谱连续,但是很难做到精确的10KHz和5KHz的最大频偏。 综合以上方案,选择方案一,实际中要求调制信号是固定不变的1KHz正弦信号,所以,我们直接把正弦信号存储在单片机中,并且换算好频率控制字。 3、模拟幅度调制 方案一:使用二极管调幅电路。较常用的二极管调幅电路有二极管平衡调幅电路和二极管环形调幅电路。但由于二极管的特性不一致,会造成电路不可能完全对称,造成控制信号的泄漏。 方案二:充分利用单片机SPCE061A的资源,1K的调制信号使用单片机的DA 口输出,经滤波放大后送MC1496与DDS产生的载波进行混频,这样效果非常好,而且成本低。 综合以上方案,选择方案二。 4、ASK和PSK数字调制

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

EDA课程设计_多功能波形信号发生器

目录 摘要 (1) 一、设计要求 (3) 三、系统设计思路 (4) 3.1 波形函数发生装置的选择 (4) 3.2 波形输入输出控制方式的选择 (5) 四、各模块设计及仿真 (6) 4.1函数发生模块 (6) 4.1.1 正弦波模块 (6) 4.1.2 方波模块 (7) 4.1.3 递增锯齿波模块 (9) 4.1.4 递减锯齿波模块 (10) 4.1.5 阶梯波模块 (12) 4.1.6 三角波模块 (13) 4.2调控模块 (15) 4.2.1波形输出控制单元 (15) 4.2.2波形输入控制单元 (16) 4.2.3频率控制单元 (18) 4.2.4幅度控制单元 (20) 4.3 D/A转换器 (21) 4.4 总电路 (24) 五、硬件测试 (25)

5.1编译 (25) 5.2 引脚的锁定 (26) 5.3编程下载 (27) 5.4 硬件验证 (28) 六、课程设计心得体会 (31) 参考文献 (32) 附录 (33) 摘要 本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。 在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。在调控模块中实现了调频调幅以及对于波形的输入输出控制。对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出, 实现数模转换的同时,保持相应位的同步实现。 在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

EDA实验 函数信号发生器

EDA设计实验 题目:函数信号发生器 作者: 所在学院:信息科学与工程学院 专业年级: 指导教师: 职称: 2011 年 12 月 11 日

函数信号发生器 摘要:函数信号发生器在生产实践和科技领域有着广泛的应用。本设计是采用了EDA技术设计的函数信号发生器。此函数信号发生器的实现是基于VHDL语言描述各个波形产生模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由波形产生模块和波形选择模块两个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以输出正弦波、方波、三角波,锯齿波,阶梯波等规定波形,并能根据波形选择模块的设定来选择波形输出。 关键字:函数信号发生器;Cyclone;VHDL;QuartusⅡ 引言: 函数信号发生器即通常所说的信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格要求的电信号设备是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对函数信号信号发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波性,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度、及分辨率高等。本文基于

EDA设计函数信号发生器,并产生稳定的正弦波、方波、锯齿波、三角波、阶梯波。 正文: 1、Quartus II软件简介 1)Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第

EDA实验-正弦信号发生器的设计说明

学生实验报告 系别电子信息学院课程名称《EDA综合实验》 班级12通信实验名称正弦信号发生器的设计 实验时间 2014年5 月日 学号2012 指导教师王红航 成绩批改时间2014年月日 报告容 一、实验目的和任务 进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。 二、实验原理介绍 EDA是电子设计自动化,对象是数字电路设计,而证选择、新号发生器的设计却是一个模拟电路设计,因此需要用到D/A转换器。 根据正弦信号发生器的波形,得知该试验的输入为时间,而输出为振幅。如果将正弦波的振幅放在存储单元为64的ROM中,根据ROM的功能得知,ROM中的数据与地址是一一对应的关系,因此设计由时间和振幅的对应关系转换为时间和地址的对应关系。据此,要得到正弦信号,需要设计一个6位二进制计数器。下图为总体设计框图: 在许多实用情况下,必须使用宏功能模块才能使用一些Altera特定期间的硬件功能,例如各类片上存储器、DSP模块等等。这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA技术的电子设计的效率和可靠性有了很大的提

高。 LPM是参数可设置模块库(Library of Parameterized Modules)的英语缩写。根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足自己的设计需要,从而在自己的项目中十分方便的调用优秀的电子工程技术人员的硬件设计成果。 LPM功能模块容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块参数设置及调用方法都可以在QuartusII中的help中查阅到,方法是选择help→Megafunction/LPM命令。 定制LPM_ROM模块流程: 1、定制初始化数据文件,建立.hex格式文件 (1)打开QuartusII软件,选择“NEW”菜单,在“others”卡片下选择“Hexadecimail(Inter-Format)File”或者“Memory Initialization File”, 如下图所示。 (2)点击图中的OK后,弹出如图所示的图片,改写“Number of words”为64. (3)点击图中OK后,弹出如图所示的图片,按照图的数据讲空白的表格填上

正弦信号发生器设计报告

正弦信号发生器设计报告 作者:王贞炎、石磊、齐欣乐 赛前辅导及文稿整理辅导教师:肖看 摘要 本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、PSK等四类调制信号。调制信号既可由用户输入参数由FPGA内部生成,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。 Abstract This system is composed by FPGA, MCU controller, keyboard, LCD, DAC and amplifier modules. The DDS, Direct Digital Synthesizer, which is implemented by a unique FPGA IC, can provide the stable sine signal with digital AM, FM, ASK, PSK modulation. The modulation signal can be provided NOT only by FPGA, which will receive parameters from user, but also from external input. This system features in compact module, simple circuit, powerful functions and flexible expansion. 一、方案论证与比较 根据题目要求,基本部分需要实现正弦波信号发生,而发挥部分主要需要实现信号调制。 1. 正弦信号输出方案 方案一: 采用专用信号发生器。MAX038是美信公司的低失真单片信号发生器集成电路,内部电路完善。使用该芯片,设计简单,可以生成同一频率信号的各种波形信号,但频率精确度和稳定度都难以达到要求。 方案二: 采用直接数字合成(Direct Digital Synthesizer)方案。DDS 的原理框图如图1-1所示。(详细原理在此不再赘述)。 图1-1 DDS原理框图 DDS技术频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位可保持连续变化。 方案论证 从题目要求来看,上述两种方案都可以满足题目合成频率范围的要求,但信

设计并实现频率可控的正弦波信号发生器 单片机课设

1Proteus软件简介 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是:①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。④具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。 特点:支持ARM7,PIC ,A VR,HC11以及8051系列的微处理器CPU模型,更多模型正在开发中; 交互外设模型有LCD显示、RS232终端、通用键盘、开关、按钮、LED等; 强大的调试功能,如访问寄存器与内存,设置断点和单步运行模式; 支持如IAR、Keil和Hitech等开发工具的源码C和汇编的调试; 一键“make”特性:一个键完成编译与仿真操作; 内置超过6000标准SPICE模型,完全兼容制造商提供的SPICE模型; DLL界面为应用提供特定的模式; 14种虚拟仪器:示波器、逻辑分析仪、信号发生器、规程分析仪等; 高级仿真包含强大的基于图形的分析功能:模拟、数字和混合瞬时图形;频率;转换;噪声;失真;付立叶;交流、直流和音频曲线; 模拟信号发生器包括直流、正旋、脉冲、分段线性、音频、指数、单频FM;数字信号发生器包括尖脉冲、脉冲、时钟和码流; 集成PROTEUS PCB设计形成完整的电子设计系统。 Protues软件与Keil uVision的结合 对于初次使用Protues软件的人可能还不知道如何设置,现在把设置步骤简介如下,仅供参考(本文章只讨论在单机上结合,在两个联网机器使用由于篇幅限制不在此讨论):设置

EDA交通灯控制器+函数信号发生器+乐曲演奏电路

EDA课程设计 题目一:交通灯控制器VHDL的设计 题目二:智能函数发生器 题目三:乐曲硬件演奏电路的VHDL设计 专业:通信工程 班级:通信084班

一、设计题目:交通灯控制器VHDL的设计 二、设计目标 1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、红、绿、黄发光二极管作信号灯,。 3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 三、设计原理 主系统由三个模块组成,jtd.vhd为顶层文件,其内部包含三个功能模块模块:信号灯主控模块(moore.vhd)、减法计数器模块(jishu.vhd)、译码器模块(led7s.vhd)。 1、选择1HZ时钟脉冲作为系统时钟。 2、45秒、25秒、5秒定时信号用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,转换信号灯状态,由主控电路启、闭三色信号灯 显示结果:十字路口的交通灯控制信号由主控电路给出,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯,变化规律为:东西绿灯亮,南北红灯亮→东西黄灯亮,南北红灯亮→东西红灯亮、南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯亮….,这样依次循环。 东西方向是主干道车道,南北方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间都设为45秒,支干道每次通行时间都设为25秒。 在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生时钟脉冲的上升沿处。

正弦信号发生器[2005年电子大赛一等奖]

正弦信号发生器[2005 年电子大赛一等奖]
作者:华中科技大学(华中科技大学 曹震 陈国英 孟芳宇) 发布时间:2006-5-29 11:28:37 本系统基于直接数字频率合成技术;以凌阳 SPCE061A 单片机为控制 核心; 采用宽带运放 AD811 和 AGC 技术使得 50Ω 负载上峰值达到 6V±1V; 由模拟乘法器 AD835 产生调幅信号;由数控电位器程控调制度;通过单片 机改变频率字实现调频信号,最大频偏可控;通过模拟开关产生 ASK、PSK 信号。系统的频率范围在 100Hz~12MHz,稳定度优于 10-5,最小步进为 10Hz。 一、 方案论证 根据题目要求和本系统的设计思想, 系统主要包括图 1.1 所示的模块。
图 1.1
系统模块框图

1、 单片机选型 方案一:采用现在比较通用的 51 系列单片机。51 系列单片机的发展 已经有比较长的时间,应用比较广泛,各种技术都比较成熟,但此系列单 片机是 8 位机,处理速度不是很快,资源不够充足,而且其最小系统的外 围电路都要自己设计和制作,使用起来不是很方便,故不采用。 方案二:选用凌阳公司的 SPCE061A 单片机。SPCE061A 单片机是 16 位的处理器,主频可以达到 49MHz,速度很快,再加上其方便的 ADC 接口, 非常适合对高频信号进行数字调频,如果对音频信号进行 A/D 采样,经过 数字调频并发射,完全可以达到调频广播的效果。 结合题目的要求及 SPCE061A 单片机的特点,本系统选用凌阳公司的此款 单片机。 2、 频率合成模块 方案一:锁相环频率合成。如图 1.2,锁相环主要由压控 LC 振荡器, 环路滤波器,鉴相器,可编程分频器,晶振构成。且频率稳定度与晶振的 稳定度相同,达 10-5,集成度高,稳定性好;但是锁相环锁定频率较慢, 且有稳态相位误差,故不采用。
图 1.2
锁相环的基本原理

湖南工业大学EDA实验报告之PWM信号发生器的设计

实验三:PWM信号发生器的设计 1.实验目的 (1)熟悉Quartus Ⅱ/ISE Suite/ispLEVER软件的基本使用方法。 (2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。 (3)学习VHDL程序中数据对象、数据类型、顺序语句和并行语句的综合使用。 2.实验内容 设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可分别由两组8位预置数进行控制。 用GW48-CK或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证。 3.实验要求 (1)画出系统的原理图,说明系统中各主要组成部分的功能。 (2)编写各个VHDL源程序。 (3)根据系统的功能,选好测试用例,画出测试输入信号波形或编号测试程序。 (4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。 (5)记录系统仿真、逻辑综合及硬件验证结果。 (6)记录实验过程中出现的问题及解决办法。 4.实验条件 (1)开发条件:Quartus Ⅱ 8.0。 (2)实验设备:GW48-CK实验开发系统。 (3)拟用芯片:EP3C55F484C8N。 5.实验设计 1)系统原理图 本信号发生器电路PWM的设计分为两个层次,其中底层电路包括两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可分别由两组8位预置数进行控制。 加法计数器LCNT8,再由这两个模块按照图3.1所示的原理图构成顶层电路PWM。 LCNT8 图3.1 LCNT8电路原理图

图 3.1 PWM电路原理图 2)VHDL程序 信号发生器PWM的底层和顶层电路均采用VHDL文本输入,有关VHDL程序如下。LCNT8的VHDL源程序: --LCNT8.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ---USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY LCNT8 IS PORT(CLK,LD:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; CAO:OUT STD_LOGIC); END ENTITY LCNT8; ARCHITECTURE ART OF LCNT8 IS SIGNAL COUNT:INTEGER RANGE 0 TO 255; BEGIN PROCESS(CLK) IS BEGIN IF CLK'EVENT AND CLK='1'THEN IF LD='1' THEN COUNT<=D; ELSE COUNT<=COUNT +1; END IF; END IF; END PROCESS; PROCESS(COUNT) IS BEGIN IF COUNT=255 THEN CAO<='1'; ELSE CAO<='0'; END IF; END PROCESS;

相关文档
最新文档