波形发生器

波形发生器
波形发生器

东南大学电工电子实验中心

实验报告

课程名称:

第次实验

东南大学电工电子实验中心

实验报告

课程名称:电子线路实验

第7 次实验

实验名称:波形发生电路

院(系):专业:

姓名:学号:

实验室: 实验组别:

同组人员:实验时间:10 年 5 月20日评定成绩:审阅教师:

实验七波形发生电路

一、实验目的

1、掌握正弦信号和非正弦信号产生的基本原理和基本分析方法,电路参数的计算方法,各

参数对电路性能的影响。

2、了解各种波形之间变换方法,重点是正弦波、方波、三角波之间的变换。

3、掌握多级电路的安装调试技巧,掌握常用的频率测量方法。

二、设计原理

三、预习思考

1、 正弦波发生电路

(I) 简述正弦波发生电路的振荡条件和主要组成部分并在图8-1的电路上标出主要组成

部分名称。 答:正弦波发生电路震荡必须要同时满足起振条件||1AF >,幅度平衡条件||1AF =和相位平衡条件2(0,1,2...)A F n n ??π+=±=

正弦波发生电路只要由基本放大电路,选频网络,反馈网络和稳幅环节这几部分组成,如下所示:

(II) 电路中的两个二极管是如何起到稳幅作用的,为什么要在二极管两端并联一个电

阻。 答:利用二极管导通电阻的非线性可控制负反馈的强弱,从而控制放大器的电压放大倍数以达到稳幅的目的。当震荡刚建立时,振幅较小,流过二极管的电流也小,其正向电阻大,负反馈减弱,保证了起振时振幅增大;但当振幅过大时,其正向电阻变小,负反馈加深,保证了振幅的稳定。

二极管两端并联一个电阻的目的是适当削弱二极管的非线性影响以改善波形的失真。

(III) 根据图8-1中各元件的参数,计算输出正弦波的频率。是否R 1、R 2、C 1、C 2调到无

穷小,输出信号频率就能无穷大,为什么。(提示:从运放的转换速率和增益带宽积来考虑)

答:输出正弦波的频率:

不会。因受运放的转换速率限制,输出波形会失真,且运放的增益与带宽乘积是一个常数,频率增大则其增益将减小,满足不了电路起振的条件。

11 1.5922100.01f K

RC K u

ππ===??

(IV) 如果R W =18k ,二极管的正向导通压降为0.6V ,试估算输出正弦波的幅度和电路的

最大可能输出频率,影响输出信号频率精度的主要因素有哪些。 答:已知,Rf=10K,Rw=18K,Vd=0.6V ,根据

0.61

,3

o f

f w O V V V R R R V ---=

=+,可得输出正弦波的峰值

9O V V =.

该电路的最大输出频率主要受运放转换速率的影响,计算可得

0.58.84229

R M AX S f K V ππ≤

=

=?

影响输出信号频率精度的主要因素有:RC 器件本身的精度,运放输入失调电压,失调电流和噪声的影响。

(V) 如果图8-1中R W 调到最小值时输出波形是什么,如果调到最大值时输出波形又是

什么(定性说明即可)。 答:R W 调到最小值时,由于

F f

R R <2,放大器的增益小于3,不满足起振条件,因此电路

停振,输出为0V 的一条直线,调到最大值时放大器的增益过大,使二极管无法实现稳幅的作用,使放大电路进入非线性工作区,输出波形为近似方波的失真波形。

(VI) 简单总结一下在设计该振荡器时必须要考虑运算放大器的哪些参数。

答:在设计振荡器时必须要考虑运算放大器的转换速率和增益带宽积,电路的频率是由RC 决定的,元件R 的阻值与运放的输入,输出电阻应满足0i R R R ≥ ,为减小运放输入偏置电流的影响,应尽量满足R=RF//RF

(VII) 阅读课程网站上介绍频率计测量原理的相关资料。

2、 方波和矩形波发生电路

(I) 简述矩形波发生电路的主要组成部分,并在图8-4中标出主要部分名称。

答:矩形波发生电路是在迟滞比较器构成方波震荡电路的基础上增加一条由RC 充放电 电路组成的负反馈支路,通过电位器Rw 来调节波形的占空比,输出幅度由双向稳压管来限幅。其主要部分如下图所示

(II) 图8-2中R W 调到最小值时输出信号频率是多少,调到最大值时输出信号频率又是

多少。 答:已知R1=10K,R2=10K,C=0.1Uf,Rw 为100K 的电位器 根据公式:212ln(1)2

F R T R C R =+

当Rw 调到最小值时,RF=10K,输出信号频率最大,即

11

1

455.12212102ln(1)2100.1ln(1)

2

10M AX F f H Z R k T R C K uF R k

=

=

=

=?+

???+

当RW 调到最大值时,RF=110K,输出信号频率最小,即

11

1

41.37212102ln(1)21100.1ln(1)

2

10M AX F f H Z R k T R C K uF R k

=

=

=

=?+

???+

(III) 稳压管为6V ,要求输出方波的前后沿的上升、下降时间不大于半个周期的10%,

试估算图8-2电路的最大输出频率。 答:根据题意可知:6O Z V V V ±=±=±,要求上升时间10%2

r T t ≤?

由上升时间的定义可得:80%r t t =??

由运放转换速率的定义可得:0|66|0.5/1/80%10%2

R V V V S V us T t

?--==

=???

所以电路的最大输出频率1 2.6M A X f K H Z T

==

(IV) 如果两个稳压管中间有一个开路,定量画出输出波形图,如果两个稳压管中间有一

个短路呢? 答:

如果两个稳压管中间有一个开路,则稳压电路全部不起作用,输出信号受运放最大输出摆幅限制,如下图所示:

如果两个稳压管中间有一个短路,等效于只有一个稳压管,当稳压管反相截止的时候,输出为稳压管的稳压值,正向导通时,输出为稳压管的正向导通压降,输出波形如下图所示:

(V) 简单总结一下,在设计该振荡器时必须要考虑运算放大器的哪些参数。

答:在设计该震荡器时,频率选取范围必须要考虑运算放大器的转换速率,偏置电流和噪声。

由于运放的共模输入电压范围max ic V 的限制,在确定正反馈支路R1,R2取值时,应保证

m ax ic V V +≤。运放的输出端要接保护稳压管的限流电阻R0.

3、 三角波发生电路

(I) 根据图8-5中的电路参数,计算该电路最大可能输出频率是多少,最大可能输出幅

度为多少?

答:根据参数,21220,20,10,22,6w w Z R K R K R K C nF V V ===== 由公式知该电路的最小可能输出频率为

2

min 12120 1.136********W W R K

f K H Z T R R C

K K nF

=

=

=

≈?????

三角波的最大可能输出峰值为

122

206620W o m Z R K V V V V R K

?=

?=

=

四、实验内容

1、 正弦信号发生器

(1) 计算V omMAX 、o f 、v f

10CC V V ±=± ,8~9om M AX V V ∴= 121210,0.01R R K C C uf ==== 04

8

11

1.59221010

f K H Z R C

ππ-∴=

=

=??

振荡频率f0处13

V f =

(2)测量

①用示波器观察输出电压o v ,适当调整电位器Rw 使电路产生振荡,输出为稳定的最大不失真的正弦波幅度O M AX V 。

1) 正弦波信号发生器的最大输出波形图

2

②验证平衡条件。在输出波形最大、稳定且不失真的正弦波情况下,用交流电压表测量o v 和

v +的值,计算反馈系数v f 。

v o

v f v +=

=

1.40.3184.4

≈,可见实验结果与理论分析吻合。

③ 正弦波信号发生器的振荡频率测量

实验数据分析:

用数字示波器调节比较快且准确,用李萨茹图形法测量则椭圆比较难调节到,较方便的是先用示波器测得大致震荡频率再调节到该频率微小调节f,这样得到的椭圆比较稳定理想。 而用F05数字频率计测震荡频率就不是很准确,将待测信号从后面板“测频/计数输入”端口输入,按【Shift 】键和【测频】键,进入频率测量功能模式。需按下低通和衰减按钮再进行测量,这样得到频率比较准确,否则误差较大。

④ 在R 1、R 2或C 1、C 2上并接同值电阻或电容,用示波器观察输出电压波形并测出相应频率,

了解振荡频率调整方法。

理论计算:并接同值电阻后的o f 、并接同值电容后的o f

并接同值电阻'00'

112 3.181222f f KHZ R C

R C ππ∴=

=

==?? ???

并接同值电容后()

'

00'

1117952222

f f H Z RC

R C ππ∴==

=

=

测量:

实验数据分析:实验结果和理论预测一致。

⑤ 调整Rw ,观察振荡器停振,或波形振幅逐渐增大,直至波形失真的变化情况,并用交

流电压表测量波形失真时的 v +、v -电压值。 振荡输出波形失真图:

振荡器停振和失真的测量:

实验数据分析:

震荡输出波形失真,说明运放工作在非线性区。从表中数据可见V +,V - 不再相等,虚短不成立。

2、 方波信号发生器

(1)用示波器观察o v 、v -的波形,并测量其电压峰-峰值,画出波形。

(2)调节Rw (F R ),观察波形频率变化规律,分别测量Rw 调至最大和最小时的方波频率

m in f 和m ax f ,并与理论值比较。

实验数据分析:

由前预习知,理论数据分别为455.12HZ ,41.37HZ ,实际测得和理论基本接近。

3、 三角波信号发生器全部内容。 (1)设计

① 设计原理图 技术指标为:

震荡频率范围为1~2khz 三角波振幅调节范围为2~4V

设计步骤 由522

o m Z R V V R =

,取26,20Z V V R k ==Ω

则252o m Z

R R V V =

,当22o m V V =时,5 6.67

R k =Ω;当24o m V V =时,513.33R k =Ω

所以5R 可取20k Ω或47k Ω的滑动变阻器。

又由452

4R R C T R =

,取22C nF =,2450

4R R R C f =

当22o m V V =时,434.10R k =Ω(f=1kHz ); 417.04R k =Ω(f=2kHz) 当24o m V V =时,417.02R k =Ω(f=1kHz ); 48.51R k =Ω(f=2kHz) 所以4R 可取100k Ω或47k Ω的滑动变阻器。

(2)测量

Vo1、Vo2波形图

幅度范围与频率范围

实验数据分析:

当5R 取47k Ω,4R 取100k Ω的滑动变阻器时,即能满足设计的指标要求。

4、选做实验

(1) 占空比可变的矩形波信号发生器全部内容。

①用双踪示波器观察v

+、v

-

的波形,并测量其电压峰-峰值,画出波形。

调节W R ,观察波形宽度变化情况,分别测量W R 调至最大和最小时的矩形波的占空比 上调,变大

五、思考题

1、在波形产生各电路中,相位补偿和失调量调零是否要考虑? 答:

不需要考虑。由于波形产生电路是不需要外加输入信号而自行产生信号输出电路。采用正、负反馈结合的方法,依照自激振荡原理产生输出波形,此时运放工作在非线性区。相位补偿和失调调零则是在线性放大中要考虑的。

2、试推导方波发生器振荡频率公式。 答

当U0为正值时,二极管D2导通,D1截止,电容充电的时间常数为:

1111()w D R R R C τ=++;而当0U 为负时,二极管D1导通,D2截止,电容放电的时间常数为:2221()w D R R R C τ=++。

RC 电路总的充放电时间常数为12121(2)w D D R R R R C τττ=+=+++。 设初始时,223

C Z R U U R R =-+,在1τ时间内电容充电到223

C Z R U U R R =

+,由电容电压随

时间变化规律: 1

223

()(1(1))t

C Z R U t U e

R R τ-=-+

+

当t=T1(负脉冲宽度)时,223

C Z R U U R R =

+,上式可解得为:

2113ln(12

)R T R τ=+,同理正脉冲宽度为2223

ln(12

)R T R τ=+

频率为12

1212311

(2)ln(12)

w D D f T T R R R R C R R ==

+++++

实验名称:

院(系):专业:

姓名:学号:

实验室: 实验组别:

同组人员:实验时间:年月日评定成绩:审阅教师:

实验七波形发生电路

一、实验目的

4、掌握正弦信号和非正弦信号产生的基本原理和基本分析方法,电路参数的计算方法,各

参数对电路性能的影响。

5、了解各种波形之间变换方法,重点是正弦波、方波、三角波之间的变换。

6、掌握多级电路的安装调试技巧,掌握常用的频率测量方法。

二、设计原理

三、预习思考

4、正弦波发生电路

(I)简述正弦波发生电路的振荡条件和主要组成部分并在图8-1的电路上标出主要组成

部分名称。

答:

(II)电路中的两个二极管是如何起到稳幅作用的,为什么要在二极管两端并联一个电阻。

答:

波形发生器实验

本科生实验报告 课程名称:模拟电子技术实验A 实验名称:波形发生器实验 学院: 专业班级: 学生姓名: 学号: 实验时间: 实验地点: 指导教师:

实验原理: 1. RC桥式正弦波振荡器(文氏电桥振荡器) 图5-12-1所示为RC桥式正弦波振荡器。其中,RC串、并联电路构成正反馈支路,同时兼作选频网络,R1、R2、Rp、二极管等元件构成负反馈和稳幅环节。调节电位器Rp,可以改变负反馈深度,以满足振荡的振幅条件和改善波形。利用两个反向并联二极管VD1、VD2正向电阻的非线性特性来实现稳幅。VD1、VD2 采用硅管(温度稳定性好),且要求特性匹配,才能保证输出波形正、负半周对称。Rs的接人是为了削弱二极管非线性的影响,以改善波形失真。 电路的振荡频率 起振的幅值条件 其中,,ra为二极管正向导通电阻。 调整反馈电阻Rf(调Rp),使电路起振,且波形失真最小。如果不能起振,则说明负反馈太强,应适当加大Rf。如果波形失真严重,则应适当减小Rf。改变选频网络的参数C或R,即可调节振荡频率。

一般采用改变电容C作频率量程切换,而调节R作量程内的频率细调。 2.方波发生器 方波发生器是一种能够直接产生方波或矩形波的非正弦信号发生器。实验原理如图5-12-2所示。它是在滞回比较器的基础上,增加了一个RF、CF组成积分电路,把输出电压经RF。CF反馈到集成运放的反相输人端,运放的输出端引入限流电阻Rs和两个背靠背的稳压管用于双向限幅。 电路振荡频率为 其中 方波的输出幅值 3.三角波和方波发生器 如图5-12-3所示,电路由同相滞回比较器A1和反相积分器A2构成。比较器A1输出的方波经积分器A2积分可得到三角波Uo, Uo 经电阻R为比较器A1提供输入信号,形成正反馈,即构成三角波、方波发生器。图5-12-4所示为方波、三角波发生器输出波形图。由于采用运放组成的积分电路,因此可实现恒流充电,使三角波 线性大大改善。滞回比较器的國值电压,电路震荡频率 ,方波幅值,三角波幅值 调节Rp可以改变振荡频率,改变比值会可调节三角波的幅值。

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

两款函数任意波形发生器产品简介

是德科技 30 MHz 函数/任意波形发生器 33521A 单通道函数/任意波形发生器 33522A 双通道函数/任意波形发生器 技术资料 ?????????????????? ?????????????????? ???? (alias-protected) ?????? ??

33500 系列函数/任意波形发生器 实现更出色的精度和灵活性?わょ??????????????????わ???????????????????????????? Keysight 33500 ????/??????????????????????????????????????????????????⒔????? 10 ???????????????????????????????????? 主要特性 —30 MHz ??????? ??????????? —???? 40 ps???????? 0.04%???????????—250 MSa/s ???? 16 ??? ????????????????? —????????????????????????????????? —??? 33522A ?????勚??????ㄩ? —?㈨ 1 MSa ??▌╈????㈨ 16 MSa ▌╈???▌╈???? ???? —?? LXI C ??? —????????????? TFT ?????????????????????????? —??? BenchL ink Waveform Builder Pro ????????????信号保真度 ???????????????? ??????????????? ??????????????? ??????????????? ????? 33500 ????/??? ??????????????? ??????? 40 ps ?⒔??? ???/??????? 10 ???? ??????????? 16 ??? ???? 0.04% ???????? ▕ 250 MSa/s (16 ?) ??????? ????????????▌╈?? ????????????⒋??? ???????????????? ???????????? 灵活的信号生成 33521A ? 33522A ???????? ??????????????? ? (DTMF) ????? 33522A ??? ?????????????ㄩ?? ???????勚???????? ??????????????(? ???????) ??????⒋? ???????????????? ???????????⒋??? 逐点波形 33500??????????? ???????????? (alias- protected) ?????????? ?????????????? ???33521A ? 33522A ??? ? 30 MHz ???????⒋?? ??????????????? ??????????????? ???????????????? ??????????????? ???????????????? ????????? 用户界面 ????????????? TFT ? ???????????????? ???????????????? ?????? 33500 ?????? LXI C ??????? USB 2.0 ? 10/100 Base-T ???????????㎡? ???? PC ?????????? ???????????????? ?? GPIB ????????? 可选 33503A BenchLink Waveform Builder Pro 软件 Benchlink Waveform Builder Pro ? ??????????????? ??????????????? ??? Microsoft Windows ???? ???????????????? ???????????????? ??????????????? ???????????????? ?╖????????㎡???? ??????????????? ??????????????? BenchLink Waveform Builder Pro? ???????????????? ???????????????? ?????╱????????? ㎡??????????????? ??????????????? ??? 30 ??????????? https://www.360docs.net/doc/575378304.html,/? nd/33503

FPGA波形发生器实验报告

实验报告册 课程名称:Verilog HDL数字系统设计 实验项目名称:频率可变的任意波形发生器学院:电子科学与技术 专业:微电子 班级:二班 报告人:黄日才 学号:2008160120 指导教师:刘春平老师 实验时间:2010.12.06 —2011.01.06 提交时间:2011.01.06

一、实验目的 利用DE2实验板和DVCC试验箱的DA转换器设计出可出任意波形且频率可调的信号发生器,也就是基于FPGA的用Verilog描述的直接数字频率合成器(DDS)。 二、设计方案及其原理说明: DDS是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。它由相位累加器、相幅转换函数表、D/A转换器以及内部时序控制产生器等电路组成。 参考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经N位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形。△P为频率字,即相位增量;参考频率为f_clk;相位累加器的长度为N位,输出频率f_out为: F_out——输出信号的频率;N————相位累加器的位数; △P———频率控制字(步长);F_clk——基准时钟频率。 1、系统总体设计方案框架图: 图1-1 系统总体设计方案

2、四种波形单周期的取样示意图: 3、本实验采用每个周期取样16次,以便产生的波形更加的平滑。函数查找表的设计:(十进制)

4、程序思路 1)分频器控制读取rom的步长,通过输入变量改变分频器计数器的计数总量,控制分频实验频率可调。 2)制作rom,通过一个函数实现,给函数输入一个地址,通过case语句输出一个值。 3)波形选择,同个if语句选择地址计数器输出的值,从而输出四种不同的波形 4)锁相环(附加),调用FPGA芯片集成的锁相环模块,让输出的相位更加的稳定。 5)调幅(附加),通过在rom的值除以不同的值来控制改变输出信号的幅度。 三、程序及具体方法注释 module dds_ver( clk_50MHz,fout,change,freq,key0 ); input clk_50MHz; //输入50MHz的全局时钟 input[1:0] change; //定义输入变量,用来切换输出波形,一共4个档位 input [2:0] freq; //定义输入变量,用来改变输出信号的频率,一共8个档位 output [7:0] fout; //输出8为rom的值,用来驱动DA转化芯片,输出波形 input key0; //定义输入变量,用来改变幅值计数器的值,从而改变幅值

单片机实现简易波形发生器

电子信息工程专业 单片机课程设计报告 题目简易波形发生器姓名 学号 班级 指导教师 2013年7 月4 日

要求: 1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。 3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。 5.课程设计任务书和报告要语言流畅,图表正确规范。 6.本表要用钢笔、圆柱笔填写或打印,字迹工整。

课程设计报告 1 设计原理与技术方法: 1.1 电路工作原理分析 本次单片机实习采用的是单片机STC89C52,对于简易波形发生器设计的硬件电路主要为三个部分,为显示部分、键盘部分、D/A转换电路,以下对三个部分分别介绍。 1.1.1 显示电路原理 如图1.1所示八位八段数码管为共阴极数码管,通过两个74HC573锁存器与单片机连接,一片573的LE为位选信号另一片的LE为段选信号,分别由单片机的P2.7和P2.6控制,高电平有效。当P2.7=1、P2.6=0时,位选有效,P0.0-P0.7分别控制01-08八位数码管选通,低有效,即通过P0口送出数据,哪一位为0则哪一位数码管有显示;当P2.6=1、P2.7=0时,段选有效,此时P0.0-P0.7分别控制每一位八段数码管的每一段a b c d e f g dp 的亮灭,高有效,从而使数码管显示数字0-9。显示段码如表1.1所示。 图 1.1 显示电路 表1.1 共阴极数码管显示段码 1.1.2 键盘电路原理 如图1.2所示为4×4的矩阵式键盘与单片机的P3口相连,行连接P3.0-P3.3,列连接P3.4-P3.5。用扫描法对按键进行扫描,先将所有行置0,所有列置1,当有按键按下时,通过对P3口的状态查询则按下的按键所在列将为0,其余仍未1,通过延时去抖动判断是否真有按键按下,若有,则逐行扫描,判断按键所在行,最后返回按键键码,并去执行相应

国产函数、任意波形发生器大比拼

国产函数、任意波形发生器大比拼 典型的DDS原理框图如图所示。 其实质是数模转换,仍然要遵循奈奎斯特采样定理。即输出的频率不超过采样率的一半,事实上商用的采用DDS技术的函数/任意波形发生器由于受到低通滤波器设计以及杂散分布的影响限制,输出波形的最高频率均不超过采样率的40%。相对于直接模拟频率合成,锁相频率合成,其优点如下: ·频率分辨率高。若时钟频率不变,DDS频率分辨率仅由相位累加器位数来决定,也就是理论上的值越大,就可以得到足够高的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多都小于1mHz甚至更小,这是其他频率合成器很难做到的。 ·工作频带较宽。根据Nyquist定律,只要输出信号的最高频率分辨率分量小于或等于fclk/2就可以实现。而实际当中由于受到低通滤波器设计以及杂散分布的影响限制,仅能做到40% fclk左右。 ·超高速频率转换时间。DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。DDS 的频率转换时间可达到纳秒数量级,比使用其它的频率合成方法都要小几个数量级。 ·相位变化连续。改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。 ·具有任意输出波形的能力。只要ROM中所存的幅值满足并且严格遵守Nyquist定律,即可得到输出波形。例如三角波、锯齿波和矩形波。 ·具有调制能力。由于DDS是相位控制系统,这样也就有利于各种调制功能。 同时DDS合成技术也有一些固有的缺点,如下: ·杂散分量丰富。这些杂散分量主要由相位舍位、幅度量化和DAC的非理想特性所引起。因为在实际的DDS电路中,为了达到足够小的频率分辨率,通常将相位累加器的位数取大。但受体积和成本的限制,即使采用先进的存储方法,ROM的容量都远小于此,因此在对ROM寻址时,只是用相位累加器的高位去寻址,这样不可避免地引起误差,即相位舍位误差。另外,一个幅值在理论上只能用一个无限长的二进制代码才能精确表示,由于ROM的存储能力,只采用了有限比特代码来表示这一幅值,这必然会引起幅度量化误差。另外,DAC的有限分辨率以及非线性也会引起误差。所以对杂散的分析和抑制,一直是国内外研究的特点,因为它从很大程度上决定了DDS的性能。 ·频带受限。由于DDS内部DAC和ROM的工作速度限制,使得DDS输出的最高频率有限。目前市场上采用CMOS、TTL等工艺制作的DDS芯片工作频率一般在几十MHz至几百MHz左右。但随着高速GaAs器件的出现,频带限制已明显改善,芯片工作频率可达到2GHz范围左右。 以上摘自:《现代DDS的研究进展与概述》一文,https://www.360docs.net/doc/575378304.html,/event/emag/20080226.htm。 将DDS应用于波形发生器,能非常方便的产生任意波形。一般除了具备常规函数发生器所具备的正弦波、方波、锯齿波、脉冲、噪声外,还有指数上升、指数下降、Sinc波、心电图波、直流,以及地震波等任意波形。能采用直接在仪器上手动编辑或windows 下软件编辑的方式产生任意波形,用于模拟电路或应用环境中可能发生的情况,此外还具备非常丰富的调制功能,甚至有些调制功能是以往只能在高端信号源上才能看到的。 下面找出主要以国产厂商为主的函数/任意波形发生器做一个对比,以此来了解国内DDS的应用水平,并给出一个大概的选购指南,以便您在需要的时候能够快捷的找到合手的信号源。Agilent在很早之前就推出了33200系列

波形发生器课程设计

1.设计题目:波形发生电路 2.设计任务和要求: 要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。 基本指标:输出频率分别为:102H Z 、103H Z ;输出电压峰峰值V PP ≥20V 3.整体电路设计 1)信号发生器: 信号发生器又称信号源或振荡器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。2)电路设计: 整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。 理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分; b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈; c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。 RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。 反相输入的滞回比较器:矩形波产生的重要组成部分。 积分电路:将方波变为三角波。 3)整体电路框图: 为实现方波,三角波的输出,先通过 RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。三角波进入积分电路,得出的波形为所求的三角波。其电路的整体电路框图如图1所示:

图1 4)单元电路设计及元器件选择 a ) 方波产生电路 根据本实验的设计电路产生振荡,通过RC 电路和滞回比较器时将产生幅值约为12V 的方波,因为稳压管选择1N4742A (约12V )。电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。从电路结构可知,当输入电压u in 小于某一负值电压时,输出电压u o = -U Z ;当输入电压u in 大于某一电压时,u o = +U Z 。运算放大器在两个饱和区翻转时u p =u n =0,由此可确定出翻转时的输入电压。u p 用u in 和u o 表示,有 2 1o 1in 22 1o 2 in 1p 111 1R R u R u R R R u R u R u ++= ++= 根据翻转条件,令上式右方为零,得此时的输入电压 th Z 2 1 o 21in U U R R u R R u ==-= U th 称为阈值电压。滞回电压比较器的直流传递特性如图4所示。设输入电压初始值小于-U th ,此时u o = -U Z ;增大u in ,当u in =U th 时,运放输出状态翻转,进入正饱和区。如果初始时刻运放工作在正饱和区,减小u in ,当u in = -U th 时,运放则开始进入负饱和区。 RC 振荡电路 积分电路 方波 三角波 反相输入的滞回比较 生成 生成 输入 积分电路 输入

函数波形发生器.docx

1 2 3 4 5 6 7 8 vcc vcc 11 U1A LM324D 02 R12 50% 3 矩形波 C7 10uF 4~l 50%^! ■^iRH 10k ;, Rw6 D1 Dz1 0 2DZ4.QT Dz2… □Z4.7 iS 乙 0324D R1 卉扳忒 U3C 750 U Key=A 1N414^ D2 禺 4N4仏 C6 卄 IOOI R15 17 1ML Dz3 2^02DZ4- 13 4D1 2 ID 9 Rwl 50% T 啥 4 1nF Rw2 50% 100kj 50% Key?A R2 K'kL 23 锯齿 1 S 22 C2 Z100 R14 1k|. w3 24 _L >R3—T — : iokh 10: C3 ±22°F OOnF Rw8 100kL Key=A 21 巫弦波 三角波 .17V

* W 卄*4巴犁曲<5 冋"Y 0叢%T r

函数波形发生器的设计 一、验目的 1、学习函数波形发牛器的设实计方法; 2、了解单片函数发生器ICL8038的工作原理及应用; 3、掌握函数波形发生器电路的调试及主要指标的测试方法; 4、研究函数波形发牛器的设计方案。 二、实验原理 在无线电通信,测量,口动化控制等技术领域广泛地应用着各种类型的信号发牛器,常用的波形是止弦波,矩形波(方波)和锯齿拨。 随着集成电路技术的发展,己有能力同时产生同频的方波,三角波和正弦波的专用集成电路, 称为函数波形发生器,如ICL8038o 1.函数波形发生器 专用集成电路ICL8038就是一个函数波形发生器,其引出脚的排列及性能见附录一。典型应用电路如图5-2-1所示。 图5-2-1 161^038典熨应川电路

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

简易波形发生器

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

函数波形发生器 程序及程序流程图、系统原理图

ASSUME CS: CODE CODE PUBLIC ORG 100H START: MOV DX,40H ;8255 A口地址IN AL,DX ;8255初始化TEST AL,01H JZ FF1 TEST AL,02H JZ FF2 TEST AL,04H JZ FF3 JMP START ;读频率选择状态L: TEST AL,10H JZ FB TEST AL,20H JZ JCB TEST AL,40H JZ SJB JMP START ;读波形选择状态FF1:MOV SI,09H JMP L FF2:MOV SI,03H JMP L FF3:MOV SI,02H JMP L ;频率调节 FB: MOV DX 48H ;0832 端口地址F: MOV BX 0FFH F0: MOV CX,SI MOV AL,00H F1: OUT DX,AL LOOP F1 DEC BX JNZ F0 MOV BX,0FFH F2: MOV CX,SI F3: OUT DX,AL LOOP F3 DEC BX JNZ F2 JMP F ;方波发生子程序 JCB:MOV DX,48H ;0832 端口地址MOV AL,0FFH J: INC AL MOV BX,0FFH J1: MOV CX,SI J2: OUT DX,AL LOOP J2 DEC BX JNZ J1 JMP J ;锯齿波发生子程序 SJB: MOV DX,48H ;0832 端口地址S: MOV AL,00H MOV BX,80H S0: MOV CX,SI S1: OUT DX,AL INC AL LOOP S1 DEC BX JNZ S0 MOV BX 80H S2: MOV CX,SI S3: DEC AL OUT DX,AL LOOP S3 DEC BX JNZ S2 JMP S ;三角波发生子程序JMP START ENDS CODE

基于FPGA的正弦信号发生器

基于FPGA的正弦信号发生器设计 摘要:本设计结合了EDA技术和直接数字频率合成(DDS)技术。EDA技术是现代电子设计技术的核心,是以电子系统设计为应用方向的电子产品自动化的设计技术。DDS技术则是最为先进的频率合成技术,具有频率分辨率高、频率切换速度快、相位连续、输出相位噪声低等诸多优点。 本文在对现有DDS技术的大量文献调研的基础上,提出了符合FPGA结构的正弦信号发生器设计方案并利用MAXPLUSⅡ软件进行了设计实现。文中介绍了EDA技术相关知识,同时阐述了DDS技术的工作原理、电路结构,及设计的思路和实现方法。经过仿真测试,设计达到了技术要求。 关键词:现场可编程门阵列(FPGA);直接数字频率合成(DDS);正弦波信号发生器

The design of sine signal generating device based on FPGA Abstract:The design that combines EDA technology and Direct Digital Synthesis (DDS) technology. EDA technology is the design of modern electronic technology at the core, electronic system design direction for the application of electronic design automation products technology. DDS technology is the most advanced frequency synthesizer technology with the high-frequency resolution and frequency switching speed, continuous phase, low phase noise output many advantages. Based on the technology of existing DDS study of the extensive literature on the basis of FPGA with the structure of the sinusoidal signal generator design and the use of FPGA II software located Total realized. The paper introduced the EDA technology-related knowledge, and elaborated on the DDS technology principle, circuit structure, and design ideas and methods. After simulation tests designed to achieve the technical requirements. Keywords:FPGA;DDS;sine signal generating device

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

函数波形发生器

函数波形发生器 一、题目分析 题目要求:利用D/A芯片产生峰峰值为5V的锯齿波和三角波。 控制功能:使用2个拨动开关(K1、K2)进行功能切换。当K1接高电平时,输出波形的频率为1Hz,否则为0.5Hz。当K2接高电平时,输出为三角波,否则输出为锯齿波。 使用的主要元器件:8031、6MHz的晶振、74LS373、74LS138、2764、DAC0832、LM324、拨动开关K1、K2等。 输出波形的验证方法:使用示波器测量输出波形。 函数发生器采用AT89c52 单片机作为控制核心,外围采用模拟/数字转换电路(DAC0832)、运放电路(LM324)、按键等。电路采用AT89C52单片机和一片DAC0832数模转换器组成数字式低频信号发生器。 通过开关控制可产生锯齿波、三角波,同时用开关控制频率切换的波形。所产生的波形V P-P范围为5 V,频率范围为1HZ与0.5HZ,波形准确并且平滑。本系统设计简单、性能优良,具有一定的实用性。 本设计主要应用AT89c52作为控制核心。硬件电路简单,软件功能完善,控制系统可靠,性价比较高等特点。 二、方案论证 硬件方案选择 方案一:AT89c52单片机是一种高性能8位单片微型计算机。它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接口制作在一块集成电路芯片中,从而构成较为完整的计算机。AT89c52芯片中每一路模拟输出与DAC0832芯片相连,构成多个DAC0832同步输出电路,输出波形稳定,精度高,但是第二级DAC0832输出,发生错误并且电路连接复杂。 方案二:AT89c52芯片中只有一路模拟输出或几路模拟信号非同步输出,这种情况下CPU对DAC0832 执行一次写操作,则把一个数据直接写入DAC

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

波形发生器实验报告-陈雷、范广腾、范晓雷

波形发生器(A题) 设计报告 学员:范广腾200604013009 陈雷200604013012 范晓雷200604014027

摘要 本系统主要以单片机为控制核心,由FPGA模块、键盘输入模块、LED显示模块、DA转换输出、巴特沃斯有源低通滤波器等部件组成。采用DDFS技术,该系统具有较宽频率带、步进值小和频率精度高等特点。 1. 设计任务 设计制作一个波形发生器,该波形发生器能产生正弦波、方波、三角波和由用户编辑的特定形状波形。 2. 设计要求及完成情况汇总 2.1.基本要求

3. 方案设计和论证 3.1. 波形发生器 方案1 :采用传统的直接颇率合成DS 技术。这种方法能实现快速频率变换.具有低相位嗓声以及所有方法中最高的工作濒率。但由于采用大量的倍频,分频、混颇和滤波环节。导致直接频率合成器的结构复杂、体积庞大,成本高、而且容易产生过多的杂散分量。难以达到较高的频谱纯度;更重要的是;这种方法只能实现正弦波,或者进而由积分、微分等方法实现方彼、三角波等标准波形、但却无法实现题目所要求的任意波形。 方案2;采用锁相环式频率合成器。利用锁相环,将压控振荡器VCO 的输出频率锁定在所需频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需频率信号,抑制杂散分量,并且省去了大量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,镇定时间较长,故领率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率和相位都很难控制:除此之外,同方案1类似,此方案也无法实现任意波形的输出。 方案3;采用直接数字式频率合成器(Direct Digital Frr-quency Synthesis,简称DDB 或DDB).用随机读写存储器RAM 存储所需波形的盆化数据. 按照不同频率要求以频率控制字k 为步进对相位增量进行票加,以票加相位值作为地址码读取存放在存储器内的波形数据.经D/A 转换和幅度控制,再滤波即可得所需波形(如图1)。由于DDS 具有相对带宽很宽、颇率转换时间极短(可小于20ns)、频率分辨率可以做得很高(典型值为0.001Hz)等优点。另外,全数字化结构便于集成.抽出相位连 续.频率、相位和幅度均可 实现程控,而且理论上能够 实现任意波形,可以完全满 足本题目的要求。于是我们 采用了此种方案。 图 1 系统流程 3.2. 幅度控制 方案1:采用双数模转换技术,由单片机控制对DAC0800置数.改变其输出电流,经电流/电压转换后通过电阻以电流源的形式作为高速乘法型D/A 转换器DAC0800的基准电流,由此即可控单片机控制输出波形的福度。但此种方法不能准确实现步进0. I V 的要求,且当

相关文档
最新文档