数字频率计电路设计与分析

数字频率计电路设计与分析
数字频率计电路设计与分析

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

基于单片机的数字频率计的设计与制作

摘要 在电子技术领域中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本文设计的测量频率计由硬件电路和软件设计两部分组成。硬件电路以AT89S52单片机最小系统为核心,实现整个电路的测试信号控制、数据运算等功能,选用74LS160作为分频电路,并通过LCD显示模块显示测量的数据。软件设计包括:单片机定时计数程序、LCD显示程序等。该数字频率计可以对输入信号幅度为5V的正弦波信号、方波信号、三角波信号进行测量,测量的频率范围为1Hz--10MHz。测量的相对误差为 1%。本系统具有结构紧凑、体积小、可靠性高、测频范围宽、使用方便等优点。 关键字:数字频率计;信号;单片机

Abstract In the electronics field, the frequency is one of the most basic parameters, and is very closely related to many electrical parameters measurement program, measurement results, so the measurement of frequency becomes even more important. The measurement of frequency designed in this text consist of two parts: the hardware and software design .the hardware circuitry take AT89S52 microcomputer as the core, to achieve the functions of controlling of the entire circuit of the test signals, data operations and choose 74LS160 as a frequency divider circuits, and through LCD display module shows measured data. Software design includes: MCU timer counting procedures, LCD display procedures and so on. The digital frequency meter can measure amplitude sine wave signal, square wave, triangle wave signals of which input signal is 5v, the frequency measured ranges from 1Hz to10MHz. The relative measurement error is 1%. This system has the advantage of compact structure , small size, high reliability, test frequency range, and easy use. Keyword:Figure frequency meter;Signal;Single-chip 目录

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

简易数字频率计设计报告

简易数字频率计设计报告 目录 一.设计任务和要求 (2) 二.设计的方案的选择与论证 (2) 三.电路设计计算与分析 (4) 四.总结与心得..................................... 错误!未定义书签。2 五.附录........................................... 错误!未定义书签。3 六.参考文献....................................... 错误!未定义书签。8

一、 设计任务与要求 1.1位数:计4位十进制数。 1.2.量程 第一档 最小量程档,最大读数是9.999KHZ ,闸门信号的采样时间为1S. 第二档 最大读数是99.99KHZ ,闸门信号采样时间为0.1S. 第三档 最大读数是999.9KHZ ,闸门信号采样时间为10mS. 第四档 最大读数是9999KHZ ,闸门信号采样时间为1mS. 1.3 显示方式 (1)用七段LED 数码管显示读数,做到能显示稳定,不跳变。 (2)小数点的位置随量程的变更而自动移动 (3)为了便于读数,要求数据显示时间在0.5-5s 内连续可调 1.4具有自检功能。 1.5被测信号为方=方波信号 二、设计方案的选择与论证 2.1 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图 2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号 图2-2 频率测量算法对应的方框图 输入电路 闸门 计数电路 显示电路 闸门产生

整体方框图及原理 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态

简易数字频率计电路设计

简易数字频率计电路设计

摘要 请对内容进行简短的陈述,一般不超过300字 关键字:周期;频率;数码管,锁存器,计数器,中规模电路,定时器 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 本章要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、数码管、时基电路、逻辑控制、译码显示电路几部分组成。

目录 前言 (1) 1.数字频率计的原理 (2) 2.系统框图 (3) 3.系统各功能单元电路设计 (3) 3.1 时基电路设计 (3) 3.2 放大整形电路 (4) 3.3 逻辑控制电路 (5) 3.4 锁存单元 (6) 3.5 分频电路 (7) 3.6 显示器 (7) 3.7 报警电路 (8) 4.系统总电路图 (10) 结束语 (11) 参考文献 (12)

前言 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

数字频率计的设计与实现

目录 1. 引言 (1) 2.设计任务书 (1) 3. 数字频率计基本原理 (1) 3.1 设计思路 (1) 3.2 原理框图 (2) 4. 设计步骤及实现方法 (2) 4.1 信号拾取与整形 (2) 4.2 计数电路 (3) 4.3 锁存电路 (5) 4.4 译码显示电路 (6) 4.5 时钟电路及波形设计 (7) 5 总体电路图及工作原理 (10) 6 元器件的检测与电路调试缺点分析 (12) 7 心得体会 (12) 参考文献 (13)

1. 引言 数字频率计是一种基础测量仪器,在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。本设计项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计与调试的方法和步骤。

2.设计任务书 1、设计题目:数字频率计 2、设计出一个数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示方式:4位十进制数显示。 ( 5 )电源: 220V 、 50Hz 。 3、给定仪器设备及元器件 示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。 4.电路原理要求简单,便于制作调试,元件成本低廉易购。

3. 数字频率计基本原理 3.1 设计思路 (1)利用光电开关管做电机转速的信号拾取元件,在电机的转轴上安装一圆盘,在圆盘上挖一小洞,小洞上下分别对应着光发射和光接受开关,圆盘转动一圈既光电管导通一次,利用此信号做为脉冲计数所需。 (2)计数脉冲通过计数电路进行有效的计数,按照设计要求每一秒种都必须对计数器清零一次,因为电路实行秒更新,所以计数器到译码电路之间有锁存电路,在计数器进行计数的过程中对上一次的数据进行锁存显示,这样做不仅解决了数码显示的逻辑混乱,而且避免了数码显示的闪烁问题。 (3)对于脉冲记数,有测周和测频的方式。测周电路的测量精度主要受电路系统的脉冲产生电路的影响,对于低频率信号,其精度较高。测频电路其对于正负一的信号差比较敏感,对于低频率信号的测量误差较大,但是本电路仍然采用测频方式,原因是本电路对于马达电机转速精度要求较低,本电路还有升级为频率计使用,而测频方式对高频的精度还是很高的。 时钟实现方法很多,本电路采用晶振电路,已求得高精度的时钟需求。3.2 原理框图 图3-1 系统框图

multisim简易数字频率计

哈尔滨工业大学 简易频率计的仿真设计

目录 1设计要求 2?总电路图及工作原理 3?电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4.电路的测试 5.分析与评价 附录:元器件清单

1 ?设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz 3. 显示方式:4位十进制数显示。 2. 电路工作原理 频率计总电路图如下所示: 2単汙汕驚工 ---------- k

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3. 电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特 触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示:

L 1 1 r 1 r 闸门电路 3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所 标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计 数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入 72 R2 VA - IS. THZ R 71 C5 lOnF ZFG ■ 丄 D1 X1 N?07 75 D2 jtl NdOOT

简易数字频率计设计实验报告

电子线路课程设计报告 姓名: 学号: 专业:电子信息 日期:2014.4.13 南京理工大学紫金学院电光系 2014-4-13

引言 《电子线路课程设计》是一门理论和实践相结合的课程。它融入了现代电子设计的新思想和新方法,架起一座利用单元模块实现电子系统的桥梁,帮助学生进一步提高电子设计能力。对于推动信息电子类学科面向21世纪课程体系和课程内容改革,引导、培养大学生创新意识、协作精神和理论联系实际的学风,加强学生工程实践能力的训练和培养,促进广大学生踊跃参加课外科技活动和提高毕业生的就业率都会起到了良好作用。 该课程主要内容: (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用:包括放大器、滤波器、比较器、光电耦合器、单稳、逻辑控制、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 (5)电子线路课程设计课题: 设计并制作一个基于模电和数电的简易数字频率计。

目录 第一章设计要求................................................. 1.1 基本要求........................................... 1.2 提高部分........................................... 1.3 设计报告........................................... 第二章整体方案设计............................................. 2.1 算法设计........................................... 2.2 整体方框图及原理................................... 第三章单元电路设计............................................. 3.1 模电部分设计....................................... 3.1.1 放大电路........................................ 3.1.2 滤波电路........................................ 3.1.3 比较电路........................................ 3.1.4 模电总体电路.................................... 3.2 数电部分设计....................................... 3.2.1 时基电路........................................ 3.2.2 单稳态电路...................................... 3.2.3 计数、译码、显示电路............................ 3.2.4 数电总体电路.................................... 第四章测试与调整............................................... 4.1 时基电路的调测..................................... 4.2 计数电路的调测..................................... 4.3 显示电路的调测..................................... 第五章设计小结................................................. 5.1 设计任务完成情况................................... 5.2心得体会...........................................

(最新整理)数字频率计设计与制作

(完整)数字频率计设计与制作 编辑整理: 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)数字频率计设计与制作)的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。 本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)数字频率计设计与制作的全部内容。

数字频率计设计与制作 王峰, 电子工程系 摘要:数字频率计是一种可以用十进制数字显示被测信号频率的测量仪器。被测信号可以是任何周期性变化的信号如正弦波、方波、三角波等等。如果加入放大电路,通过传感器则可以对许多微弱的、规律的物理量进行测量,例如声音、机械振动、转速的频率等等。使用频率计能让我们直观的看到信号的频率,其方便性、简单性、准确性使其具有较高的实用价值。因此数字频率计是一种应用很广泛的仪器,在计算机、通讯设备、自动化等科研生产领域起着重要作用。对于本次课题“数字频率计设计与制作”,我选用了555定时器产生时基信号,单稳态触发器74LS273来控制电路中的锁存,计数器74LS90来计数,74LS48进行译码并通过数码管显示。运用数字集成芯片给设计减少了很多不必要的麻烦。 关键词:数字频率计;锁存;译码;计数 Digital Frequency Meter Design and Fabrication Wangfeng, Electronic Information Engineering Abstract:Digital Frequency Meter is a measuring device, it can using decimal numeral reveal the signal frequency。 The measured signal was variety seasonal signal, such as sinusoidal wave, square wave, triangle wave and so on. If we using amplify circuit, we can also use sensing element measuring so many faint and regular signals, for example voice, inflexible vibrate and rotation rate. Digital Frequency Meter can make us intuitively sight the signal frequency,it’s conveniently, simply and accuracy, so it has enormously worthy in many fields, include computer, communication apparatus, automation equipment and so on。For about this subject study,the Digital Frequency Meter Design and Fabrication,I select 555_timer produce a normal time signal, using Monostable Trigger 74LS273 constitute flip-latch,using counter flip-flop 74LS90 count,using 74LS48 constitute a code translator and usig Mixie light reveal frequency。 Apply digital integrated circuit chip help me save so many time and reduce a number of inconvenience. Key words:Digital Frequency Meter; flip—flop; code translator; counter

电子课程设计_简易数字频率计的设计

某某某某大学 某某某某学院 电子课程设计 姓名张三学号 年级XX级专业电子信息工程

题目简易数字频率计的设计(含电源) 指导老师李四 上交时间XXXX-6-11 ?【课题名称】:数字频率计 设计目的 ①掌握数字频率计的设计、组装与调试方法。 ②了解频率测量与周期测量的基本原理及减小误差的方法。 1.设计内容与要求 ①简易数字频率计的主要技术指标: 测量频率范围0000Hz~9999Hz;数字显示位数4位数字显示;频率测量准确度Δfx/fx≤±15%;测量时间t≤1.5s;被测信号幅度Uzm=5V(正弦波,方波,三角波)。 ②设计频率计相应的单元电路: 可控制的计数、锁存、译码显示系统;设计频率计的整机电路并画出框图和总电路图。 ③组装、调试单元电路和整机系统。

④测试系统的性能指标。 ⑤总结报告。 2.数字频率计的基本原理 ⑴数字频率计测频的基本原理 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和类似脉冲信号的频率,而且还可以测量它们的周期。数字频率计在测量其他物理量如转速,振动频率等方面获得广泛应用。众所周知,所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为 f=N/T 因此,数字频率计测频率时的原理框图如下。其中脉冲形成电路的作用是:将被测信号变成脉冲信号,其重复频率等于被测频率fx。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间也准确的等于1s。闸门电路由标准的秒信号进行控制,当秒信号来到时,闸门开通被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N为在1s时间内的累计数,所以被测信号的频率fx =NHz。

数字频率计电路

第二章电路的总体设计方案 2.1方案论证与选择 2.1.1方案的提出 方案一 电路整体框架如图一所示。被测信号经过放大,整形电路将其转换成同频率的脉动信号,送入计数器进行计数,闸门的一个输入信号是秒脉冲发出的标准脉冲信号,秒脉冲信号源含有个高稳定的石英振荡器和一个多级分频器共同决定,其时间是相当精确的,计数器显示电路采用七段共阴极LED数码管。 图2-1 方案一框架图 方案二: 本方案采用单片机程序处理输入信号并且将结果直接送往LED显示,为了提高系统的稳定性,输入信号前进行放大整形,在通过A/D转换器输入单片机系统,采用这种方法可大大提高测试频率的精度和灵活性,并且能极大的减少外部干扰,采用VDHL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。但采用这种方案相对设计复杂度将会大大提高并且采用单片机系统成本也会大大提高。

图2-2 方案二框架图 方案三: 采用频率计专用模块,即大规模集成电路将计数器、锁存器、译码、位和段驱动,量程及小数点选择等电路集成在一块芯片中,该方案在技术上是可行的,可以简化电路的设计,当对于设计要求中的某些指标,采用专用模块来完成比较困难,即扩展极为不便。 图2-3 方案三框架图 2.1.2方案的的比较 方案一:具有设计复杂度小、电路简洁、功能实用且成本低廉等特点,其稳

定性较好基本能满足设计要求。 方案二:采用单片机处理能较高要求,但成本提高且设计复杂,虽然可以达到很高的精度要求,但是,VHDL编程语言是我们在学习过程中没有接触过的,短期内也很难掌握并且熟练运用。 方案三:用专用频率计设计模块固然设计简单且稳定但系统可扩展性能较差。 2.1.3方案的选择 综合三种方案比较:我感觉方案一和我以前学的专业知识更接加近些,电路原理容易理解,所设计的数字频率计稳定性好,基本上能够满足设计要求,所以我采用第一种设计方案。 图2—5 数字频率计组成框图 在我的毕业设计中,数字频率计由信号输入电路、分频电路、放大整形电路、闸门电路、时基电路、逻辑控制电路、计数电路、锁存电路、译码显示电路,小

简易数字频率计的设计与制作

简易数字频率计的设计与制作 作者:赵玉龙 【摘要】:本设计是基于单片机内部的两个定时器/计数器与外围硬件相结合,并通过一定的软件控制达到测量频率的目的的简易数字频率计,可以直接精确测量1KHZ到65.535KHZ的频率范围。本设计的优点在于直接利用单片机进行频率的测量,更加的方便,实用。 【关键词】:单片机频率测量

前言 单片机即单片微控制器单元,由微处理器,存储器,I/O接口,定时器/计数器等电路集成在一块芯片上构成,现在应用于工业控制,家用民用电器以及智能化仪器仪表,计算机网络,外设,通信技术中,具有体积小、重量轻、性价比高、功耗低等特点, 同时具有较高的抗干扰性与可靠性可供设计开发人员灵活的运用各种逻辑操作,实现实时控制和进行必要的运算.目前单片机更朝着大容量、高性能与小容量、低廉化、外围电路内装化以及I/O接口的增强和能耗降低等方向发展.本设计的意义在于如何利用较少的硬件达到直接测量较高精度频率的目的,更加的方便,快捷,相对于传统的数字频率计实用性更高。

第一章 系统硬件电路的设计 1.1方案的选择: 方案一.采用组合电路和时序电路等大量的硬件电路来构成,利用555多谐振荡产生闸门时间,两个D 触发器来进行门控信号的选择,数码管,以及其他硬件电路组成。 方案二.利用一块AT89C51单片机芯片直接来驱动数码管。 比较方案一与方案二在实现功能一样的情况下,我们可以发现纯粹利用硬件电路来实现不仅产品体积较大,运行速度慢,而且增加了许多的硬件成本,而利用单片机体积小、功能强、性能价格比较高等特点,在实际使用时节约了很多的硬件成本,符合设计的要求,故而本设计选择方案二来实现频率的测量. 1.2系统功能分析 本系统是基于单片机的简易数字频率计,在硬件的基础上通过软件的控制 达到频率测量的目的,整个系统工作由软件程序控制运行。整个系统主要可以分为两个部分,频率测量单元和频率显示单元。频率测量单元主要完成对被测信号的测量,而显示单元主要完成用数码形式将测量结果显示出来。 1.3.系统的方框图: 被测信号通过单片机的内部处理,完成对被测信号的测量,经过转换以数字形式显示出来。 图一 系统方框图 具体情况如下: 将单片机定时/计数器0设置成定时器方式,由它对单片机机器周期信号计数定时,形成时间间隔T,去控制单片机定时/计数器1的启动和停止, 单片机定时计数器1设置成计数器方式,由它对被测信号计数. 这里需要说明能够的是单片机内的两个定时/计数器在同一时刻不能既作为计数器使用又作为定时器使用,如设置成定时器模式就不能作为计数器使用;如设置成计数器模式就不能作为定时器使用. 1.4.各功能部件单元电路设计

相关文档
最新文档