交通灯控制器的设计

交通灯控制器的设计
交通灯控制器的设计

电子技术课程设计题目:交通灯控制器的设计

院系:控制科学与工程系

班级:测控1001班

姓名:

学号:

指导教师:龚军

报告时间:2013.3.27

1.绪论

1.1 课题背景

在公路交通运输作用愈来愈得以凸显的今天,公路交通安全也越来越得人们的重视。红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行,这是世界上最早的交通信号灯。1868 年,英国机械工程师纳伊特在伦敦Westminster 的议会大厦前的广场上,安装了世界上最早的煤气红绿灯,它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869 年1 月2 日,煤气灯爆炸,使警察受伤,遂被取消。电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914 年始安装于纽约市5 号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。

1918 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路,红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。

从1868 年英国伦敦首次使用燃汽色灯信号以来,城市交通信号机由手动到自动,交通信号由固定周期到可变周期,系统控制方式由点控到面控,从无车辆检测器到有车辆检测器,经历了近百年的历史。到1963 年加拿大Toronto 市建立了一套使用IBM650 型计算的集中协调感应控制信号系统,从而标志着城市道路交通信号系统的发展进入了一个新的阶段。之后,美国、英国、德国、日本、澳大利亚等多家相继建成数字电子计算机区域交通控制系统,这种系统一般还配备交通监视系统组成交通管制中心。到80 年代初,全世界建有交通管制中心的城市有300 多个,代表了未来交通控制的发展方向。

1.2 课题研究的目的和意义

随着城市机动车辆的不断增加,许多大城市出现了交通超负荷运行的情况。因此,自80 年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路。缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。

目前,国内大部分中小城市仍采用传统的交通灯控制模式,但随着城市的不断发展,基于车流量的智能交通灯控制系统必将受到广大人民的青睐。传统的交通信号灯,通常采用定时分配方式控制,主要存在三方面的缺陷:(1)车道放行车辆时,十字路口经常出现不同相位上车辆放行时问相同,车辆多的一方容易出现车辆堆积,造成下一路口的交通阻塞;;(2)当某相位上无车时,恰好是该相位上的车辆通行时间,则在这段时间内,就出现了交通指挥盲点;(3)当一

路口车流量很大时,不能够自动延长口的绿灯时间,导致在一个周期内此路口的车辆不能完全通过。

在实现正常交通灯控制功能的基础上,本课题研究的一个方向便是智能交通,着眼于传统交通灯控制系统的缺陷,希望能够缓解这些问题。

1.3 国内外研究概况

在国内普遍使用的交通灯还比较落后,但处在研究阶段的项目比较多,我个人感觉交通灯的设计不仅仅是一个技术问题,很大程度上包含了

在西方发达国家,交通控制系统基本上完成了由传统的交通控制系统向智能交通控制系统ITS 的转变,而在我国,智能交通系统则刚刚处于起步阶段。对于传统的交通控制系统而言,对红绿灯一般采用定时控制,无法对实际的交通流进行识别优化,以至于不能适应交通量的不确定性和随机性的原因,往往造成交通资源的浪费和道路的梗阻。而智能交通控制系统则在不产生大的硬件改动的情况下有效的提高效率。

ITS(Intelligent Transport systems)这一国际性术语于1994 年被正式认定。

在此之前,美国称这类技术或相关研究项目为“智能车辆道路系统(IVHS)”(Intelligent Vehicle Highway System)。日本将这类技术称为UTMS、VICS 等,欧盟则称之为“道路交通信息技术(RTI)”。

智能交通系统强调的是系统性、信息交流的交互性以及服务的广泛性,其核心技术是电子技术、信息技术、通信技术、交通工程和系统工程。智能交通系统ITS 是在较完善的道路设施基础上,将先进的电子技术、信息技术、传感器技术和系统工程技术集成运用于地面交通管理所建立的一种实时、准确、高效、大范围、全方位发挥作用的交通运输管理系统。

在国内,受客观条件的制约,ITS 起步比较晚,在20 世纪90 年代初,我国的相关学者开始意识到研究和开发ITS 的重要性。到90 年代中期,由于受到国外ITS研发的影响,政府部门也开始重视对ITS 的研究,随后,又得到中央部门和部分地方政府的支持。

1999 年,我国成立了全国智能交通系统(ITS)协调指导小组及办公室,同年,又成立了全国智能交通运输系统(ITS)专家咨询委员会,其中,同济大学、清华大学、北方交通大学、北京航空航天大学、吉林工业大学、东南大学等高校的有关专家为咨询委员,并启动了国家“九五”科技攻关课题和国家“十五”科技攻关课题。目前,在对一些大中型城市引入的国外ITS 进行研究的基础上已经逐渐开始摸索开发设计适合自己国情的ITS 系统。

2.任务概述

2.1 设计内容与基本要求

①设计一个十字路口交通信号灯的控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,且将运行时间用数码管显示出来。

②绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为Tx秒,支干道每次通行时间为Ty秒。每次变换运行

车道前绿灯闪烁,持续时间为5秒。即车道要由X 转换为Y 时,X 在通行时间只剩下5秒钟时,绿灯闪烁显示,Y 仍为红灯。

③ 可以对X ,Y 车道上交通灯运行的时间进行重新设置,

20≦Tx ≦99 ,10≦Ty ≦39

④ 对器件进行在系统编程和实验验证。

⑤ 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。

3.系统设计

3.1 设计思路

关键词: 主/支干道红绿灯 时间设置 绿灯闪烁 倒计时

根据交通灯控制器要实现的功能,主控制模块考虑使用几个并行执行的always 模块来分别控制A 、B 两个方向的4盏灯的变化。这些always 模块使用同一个时钟信号。

四个灯按如下顺序点亮,并且循环往复:

每种灯亮的时间采用一个减法计数器进行计数,计数器用同步预置法设计,这 样只需改变预置数据,就能改变计数器的模;同时可以利用开关编码来实时设置各绿灯的亮灯时间,方便手动改变X 、Y 两条路线的通行时间。

使用DE0 开发板,必须考虑到可用资源的有限性。DE0开发板上有10个拨动开关,4个数码管,10个发光二极管。为了便于显示亮灯时间,计数器的输出均采用BCD 码,显示由4个数码管来完成,X 方向和Y 方向各用两个数码管,置数的时间在相应的数码管上显示。

3.2 系统框图与说明

主干道绿灯亮,支干道红灯亮

主干道绿灯在最后5秒闪烁,支干道红灯亮

支干道绿灯亮,主干道红灯亮

支干道绿灯在最后5秒闪烁,主干道红灯亮

时钟信号

控制单元

定时器

手动设置时间

译码器

数码管倒计时显示

支干道信号 灯

主干道信号 灯

3.3 状态转换表

LA、LB 分别表示主干道直行绿灯和红灯

LC、LD 分别表示支干道直行绿灯和红灯

此交通灯系统工作主要有5个时间间隔,分别为:

1.主干道直行绿灯时间,可手动设置

2.主干道直行红灯时间,与3(支干道直行绿灯时间)相同

3.支干道直行绿灯时间,可手动设置

4.支干道直行红灯时间,与1(主干道直行绿灯时间)相同

5.主/支干道绿灯闪烁时间,时间为5秒

由于结合实际的十字路口交通灯的情况,将其简化为4个状态:

状态1:主干道亮直行绿灯,支干道亮直行红灯,两者时间相同

状态2:主干道直行绿灯最后5秒闪烁,支干道亮直行红灯

状态3:支干道亮直行绿灯,主干道亮直行红灯,两者时间相同

状态4:支干道直行绿灯最后5秒闪烁,主干道亮直行红灯

3.4 输入输出设计

3.4.1 开关输入

本设计系统共需要10个开关,其中sw0~sw3用来对主支干道的时间进行设置,sw4~sw7用来选择需要设置时间的数码管,sw8为复位开关,sw9为清零开关sw0:用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0

sw1: 用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0

sw2:用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0

sw3:用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0

sw4: 用来选择需要设置时间的数码管,拨上去表示对支干道通行时间的个位进行时间设置

sw5: 用来选择需要设置时间的数码管,拨上去表示对支干道通行时间的十位进行时间设置

sw6:用来选择需要设置时间的数码管,拨上去表示对主干道通行时间的个位进行时间设置

sw7:用来选择需要设置时间的数码管,拨上去表示对主干道通行时间的十位进行时间设置

sw8:复位开关,拨上去可以选择数码管对时间进行设置,拨下来开始通行时间的倒计时

sw9:清零开关,设置时间时,拨上去对所设置的时间清零,重新设置

当然,也少不了时钟信号的输入,这里不用外接信号源,利用DE0 开发板上自带的信号发生器就好。原时钟信号产生的是50MHz 的信号,输入到各个模块之前先进行一步分频,就可以很好的使用了。

3.4.2 输出设计

分别用4个LED灯来表示X、Y干道的红绿灯,用2个LED灯来表示操作错误时的报错提示和设置时间超出规定范围的提示。用4个数码管来计数,第一对儿显示主干道的绿灯和支干道的红灯时间,第二对儿显示支干道的绿灯和主干道的红灯时间。时间设置时,在相应的数码管上进行。

3.4.3 引脚配置与说明

将各个引脚配置表列出如下表,同时给出引脚配置图如下:

引脚配置表如下:

3.5 系统模块仿真

进行系统仿真其实是和写程序代码同步进行的,在每编写一个模块后,都要进行编译仿真,这样能及时发现问题,避免的最后出现一堆问题不好排除错误,提高检验的效率。这里对关键模块进行仿真,以保证每一步设计的可靠性。至于总体的仿真,个人认为没有必要:一方面总体仿真操作太多,频繁改变信号不科学;另一方面,下载到开发板上看功能是否正常更为直接、方便,故总体验证采用开发板而不是仿真。

3.5.1 分频模块

fenpin(CLK,clk);

名称:分频模块;

功能:将50MHz 的输入信号分频为1Hz 的输出信号;

这个模块理论上虽然可以仿真,但是1Hz 和50MHz 数量级相差太大,即使仿真结果正确,也无法直观的用眼睛观察出来,所以这里不在列出该模块的仿真图形,其模块的正确性可以在以后的开发板上明显的体现出来。

3.5.2 时间设置模块

zhishu(cr,clk,rst,xuanshu,zhishu,tx1,tx2,ty1,ty2,tx,ty,error,error1); 名称:时间设置模块;

功能:手动设置主支干道绿灯通行时间

仿真波形如下:

3.5.3 译码模块

display(qout,led);

名称:译码模块;

功能:将数值转换为相应数码管的编码;

仿真波形如下:

3.5.4 复位开关选择模块

fuwei(rst,inx,iny,outz);

名称:复位开关选择模块;

功能:实现设置时间和倒计时的切换;

仿真波形如下:

3.5.5 控制模块

kongzhi(clk,rst,txa,tya,LA,LB,LC,LD,tx,ty);

名称:控制模块;

功能:根据设置的时间和倒计时的时间对主支干道的红绿灯进行控制仿真波形如下:

4.使用说明

4.1 输出显示

用数码管来显示计时,具体各个作用如下:

左边两个数码管表示主干道X的计时器的十位和个位数字。对时间进行设置时也在其上面显示。

右边两个数码管表示支干道Y的计时器的十位和个位数字。对时间进行设置时也在其上面显示。

分别用6个LED灯来表示主支干道的红绿灯和报错灯。

右一灯表示主干道绿灯。

右二灯表示主干道红灯。

右三灯表示支干道绿灯。

右四灯表示支干道红灯。

左一灯表示操作错误时的报错灯。

左二灯表示置数时超出范围的提示灯。

4.2 输入开关

第一个开关是清零开关,用于置数时对所有数字清零。

第二个开关是复位开管,拨上去是设置时间,拨下来是开始倒计时。

第三到第六个开关是在置数时选择数码管的开关,第三个开关拨上去其他的三个开关拨下来选择第一个数码管,第四个开关拨上去其他的三个开关拨下来选择第二个数码管,一次类推。

第七到第十个开关是设置时间的开关,才用二进制编码,拨上去代表1,拨下来代表0,当对每一个数码管置数时,可以选择他们四个的组合而置出0~9。

实验总结及心得体会

5.1 心得体会

完成课程设计的基本要求。基本要求中,做到了红绿灯转换,绿灯闪烁,设置通行时间。虽然这些功能可以实现,但是毕竟过于简单,仅仅有这些功能是不够的,在实际运用中如果仅仅有这些功能,就根本没有任何价值,所以必须进行功能的扩展。

增强动手能力。这是一个将我们平时所学运用于实践的机会,而这正是我们学生最欠缺的,正好可以弥补我们的不足,增强我们的动手能力,在实践中运用我们的知识解决实际的问题。当我们在遇到困难的时候不应该气馁,而应该要努力去解决问题。当遇到真正自己无法解决的,可以多和同学讨论或者是向老师请教。好多事情可能在没做之前觉得很困难,但是一旦你真正开始投入精力去做的时候,你会发现事情没有你想的那么难。而且你能够感觉到你在其中收获了很多。

设计数字系统的能力得以提升。在进行Verilog 编写的时候,对于wire 与reg 的变量开始总是会用错,导致编译不能通过,之后查看了资料发现了我们完全用c语言的思路进行代码的编写,由于Verilog 中的always 语句中是并行执行的,所以不是像我们想的顺序执行,这样写出的代码的仿真经常会与我们所想的有一些出入,而且引起不必要的时序的混乱。同时在进行代码与仿真的同时,加强了自己的查错改错的能力,完善了自己的Verilog 功底,加深了对硬件电路的认识。通过这个实验,使我更深的了解了Verilog 编程时的一种模块化的思想。在设计的时候,由于我们接触的一般都是小模块,所以对于小模块的编写还是比较习惯的,然而这次的交通灯的设计却是一个比较大的完整的项目。故采用从上到下的设计方法,先设计整体的主模块,然后再分别设计各个所需要的模块,这样做的一个好处就是到时进行整合的时候可以更好的衔接而不会不连贯的现象。

5.2 改进与展望

这次课程设计我也在网络、书籍资料上找了很多关于交通的信息,虽然自己已经能比较完整的做好了自己的设计内容,但是这仅仅是非常简单的交通灯,今后我们交通会越来越发达,具体表现在道路会越来越宽,通行能力会越来越强,路口也会越来越复杂,那么就要求设计的交通灯控制系统要更加智能化、人性化。

比如图的设计,这是我在网上找到的一个比较复杂的路口,上面交通灯的控制也更加有难度。尤其是在人行道的控制需要花费很大的心思。这种设计更加贴近生活,更加实用。这次设计的十字路口简单的红绿灯是最基本的,已经不能满足现在交通的需求。故需要我们更加深入的探索。开发出更加智能的交通系统。

参考文献

1.谢自美. 电子线路设计综合设计. 华中科技大学出版社,2006

2.罗杰,谢自美. 电子线路设计.实验.测试(第4 版).电子工业出版社,2008.4

3.王金明.Verilog HDL 程序设计教程.人民邮电出版社.200

4.1

附录程序源代码

/*******************************************************************/

顶层模块

Module trafficlight

(cr,rst,in_50MHz,xuanshu,zhishu,LA,LB,LC,LD,led1,led2,led3,led4,error,error1);

input in_50MHz;

input rst;

input cr;

input [3:0]xuanshu;

input [3:0]zhishu;

output [6:0]led1;

output [6:0]led2;

output [6:0]led3;

output [6:0]led4;

output LA,LB,LC,LD;

output error;

output error1;

wire clk;

wire [3:0]tx2;

wire [3:0]tx1;

wire [3:0]ty2;

wire [3:0]ty1;

wire [6:0]tx;

wire [6:0]ty;

wire [6:0]txa;

wire [6:0]tya;

wire [3:0]ta;

wire [3:0]tb;

wire [3:0]tc;

wire [3:0]td;

wire [3:0]outz1;

wire [3:0]outz2;

wire [3:0]outz3;

wire [3:0]outz4;

freqDiv Z1(in_50MHz,clk);

zhishu D1(cr,clk,rst,xuanshu,zhishu,tx1,tx2,ty1,ty2,txa,tya,error,error1);

kongzhi K1(clk,rst,txa,tya,LA,LB,LC,LD,tx,ty);

display F1(outz1,led1);

display F2(outz2,led2);

display F3(outz3,led3);

display F4(outz4,led4);

zhuanhuan (tx,ty,ta,tb,tc,td);

switch S1(rst,tx1,ta,outz1);

switch S2(rst,tx2,tb,outz2);

switch S3(rst,ty1,tc,outz3);

switch S4(rst,ty2,td,outz4); endmodule

分频模块

module freqDiv(in_50MHz,out_1Hz);

input in_50MHz;

output out_1Hz;

reg out_1Hz;

reg [24:0]cnt;

always@(posedge in_50MHz)

begin

cnt <= cnt + 1'b1;

if(cnt < 24999999)

out_1Hz <=0;

else

begin

if(cnt >=50000000)

cnt <=0;

else out_1Hz <=1;

end

end

endmodule

转换模块

module zhuanhuan(tx,ty,ta,tb,tc,td);

input [6:0]tx;

input [6:0]ty;

output reg [6:0]ta;

output reg [6:0]tb;

output reg [6:0]tc;

output reg [6:0]td;

always@(tx,ty)

begin

ta<=tx/10;

tb<=tx%10;

tc<=ty/10;

td<=ty%10;

end

endmodule

置数模块

module zhishu (cr,clk,rst,xuanshu,zhishu,tx1,tx2,ty1,ty2,tx,ty,error,error1);

input clk;

input rst;

input cr;

input [3:0]xuanshu;

input [3:0]zhishu;

output reg [3:0]tx1;

output reg [3:0]tx2;

output reg [3:0]ty1;

output reg [3:0]ty2;

output [6:0]tx;

output [6:0]ty;

output reg error;

output reg error1;

assign tx=tx1*10+tx2;

assign ty=ty1*10+ty2;

always@(xuanshu,zhishu,cr)

begin

if(cr)

begin

tx1<=0;

tx2<=0;

ty1<=0;

ty2<=0;

end

else if(rst)begin

error<=0;

error1<=0;

if(xuanshu[3:0]==4'b1000)

begin

case(zhishu[3:0])

4'b0000:tx1<=4'd0;

4'b0001:tx1<=4'd1;

4'b0010:tx1<=4'd2;

4'b0011:tx1<=4'd3;

4'b0100:tx1<=4'd4;

4'b0101:tx1<=4'd5;

4'b0110:tx1<=4'd6;

4'b0111:tx1<=4'd7;

4'b1000:tx1<=4'd8;

4'b1001:tx1<=4'd9;

default:error<=1;

endcase

end

else if(xuanshu[3:0]==4'b0100) begin

case(zhishu[3:0])

4'b0000:tx2<=4'd0;

4'b0001:tx2<=4'd1;

4'b0010:tx2<=4'd2;

4'b0011:tx2<=4'd3;

4'b0100:tx2<=4'd4;

4'b0101:tx2<=4'd5;

4'b0110:tx2<=4'd6;

4'b0111:tx2<=4'd7;

4'b1000:tx2<=4'd8;

4'b1001:tx2<=4'd9;

default:error<=1;

endcase

end

else if(xuanshu[3:0]==4'b0010) begin

case(zhishu[3:0])

4'b0000:ty1<=4'd0;

4'b0001:ty1<=4'd1;

4'b0010:ty1<=4'd2;

4'b0011:ty1<=4'd3;

4'b0100:ty1<=4'd4;

4'b0101:ty1<=4'd5;

4'b0110:ty1<=4'd6;

4'b0111:ty1<=4'd7;

4'b1000:ty1<=4'd8;

4'b1001:ty1<=4'd9;

default:error<=1;

endcase

end

else if(xuanshu[3:0]==4'b0001)

begin

case(zhishu[3:0])

4'b0000:ty2<=4'd0;

4'b0001:ty2<=4'd1;

4'b0010:ty2<=4'd2;

4'b0011:ty2<=4'd3;

4'b0100:ty2<=4'd4;

4'b0101:ty2<=4'd5;

4'b0110:ty2<=4'd6;

4'b0111:ty2<=4'd7;

4'b1000:ty2<=4'd8;

4'b1001:ty2<=4'd9;

default:error<=1;

endcase

end

//tx<=tx1*10+tx2;

//ty<=ty1*10+ty2;

else

if((tx1<=4'd2)||(ty1>=4'd4)||(ty1==0))

begin

error1<=1;

end

end

end

endmodule

译码模块

module display(qout,led);

input [3:0]qout;

output reg [6:0] l ed;

always @(qout)

begin

case(qout)

4'b0000: led[6:0]<= 7'b0000001;

4'b0001: led[6:0]<= 7'b1001111;

4'b0010: led[6:0]<= 7'b0010010;

4'b0011: led[6:0]<= 7'b0000110;

4'b0100: led[6:0]<= 7'b1001100;

4'b0101: led[6:0]<= 7'b0100100;

4'b0110: led[6:0]<= 7'b0100000;

4'b0111: led[6:0]<= 7'b0001111;

4'b1000: led[6:0]<= 7'b0000000;

4'b1001: led[6:0]<= 7'b0000100;

endcase

end

endmodule

复位开关选择模块

module fuwei(rst,inx,iny,outz);

input [3:0]inx;

input [3:0]iny;

input rst;

output reg [3:0]outz;

always@(inx,iny)

begin

if(rst)

begin

outz<=inx;

end

else

begin

outz<=iny;

end

end

endmodule

控制模块

module kongzhi(clk,rst,txa,tya,LA,LB,LC,LD,tx,ty);

input clk;

input rst;

input [6:0]txa;

input [6:0]tya;

output reg LA,LB,LC,LD;

output reg [6:0]tx;

output reg [6:0]ty;

reg [1:0]s;

parameter s0=2'b00,s1=2'b01,s2=2'b10,s3=2'b11; always@(posedge clk)

begin

if(rst)

begin

tx<=txa;

ty<=tya;s<=s0;

end

else

begin

case(s)

s0:begin

if(tx<7'd6)

s<=s1;

else

begin

LA<=1;

LB<=0;

LC<=0;

LD<=1;

tx<=tx-1;

ty<=tya;

end

end

s1:

begin

if(tx==0)

s<=s2;

else

begin

LA<=~LA;

LB<=0;

LC<=0;

LD<=1;

tx<=tx-1;

ty<=tya;

end

end

s2:

begin

if(ty<7'd6)

s<=s3;

else

begin

LA<=0;

LB<=1;

LC<=1;

LD<=0;

tx<=txa;

ty<=ty-1;

end

end

s3:

begin

if(ty==0)

s<=s0;

else

begin

LA<=0;

LB<=1;

LC<=~LC;

LD<=0;

tx<=txa;

ty<=ty-1;

end

end

endcase

end

end

endmodule

/*****************************************************************************/

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

智能交通灯控制器的设计报告

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

设计题目 交通灯控制器设计

广西科技大学 单片机技术课程设计报告 课程:单片机技术 题目: 学院: 专业: 姓名: 学号: 指导老师: 完成时间: 成绩评定 设计报告得分S1:(百分制) 平时考勤得分S2:(百分制) 问题回答得分S3:(百分制) 总成绩:(S1×0.6+S2×0.2+ S3×0.2) 指导教师签字年月日

摘要 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C52单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。 关键词:交通灯单片机数码管

目录 1.概述 (1) 2系统总体方案及硬件设计 (2) 2.1设计内容 (2) 2.2 设计要求 (2) 2.3 总体设计思想 (2) 2.4 设计参考 (2) 2.5 知识点准备 (2) 3各模块设计 (3) 3.1设计项目简介 (3) 3.2总体设计 (3) 3.3硬件设计 (3) 3.4软件设计 (9) 4软件仿真 (12) 5课程设计体会 (13) 参考文献 (14) 附录一程序清单 (15) 附录二系统原理图 (21)

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

单片机设计方案——交通灯控制系统设计方案

单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

交通灯控制器设计(课题设计)

题目______ ___________ 班级___ _____________ 学号_______ _____________ 姓名__________ ______________ 指导_______ _ ______________ 时间___ ____________

电子技术课程设计任务书

目录 1、总体方案与原理说 明... ..... .. . . .. .. . .. .. ... . . ... .... .. . . . ... . . .. . .1 2、C l o ck的分频电 路. . .. . . ... . .. ..... . .. ... .. . . . . .. . . ....2 3、数码管显示电 路. . . . ... .... .. . .. . . . .. . .. .. . . ....... . .. 3 4、主控制电 路. . . .. .. . .. .. . ... . .... .. . .. . . . . . . . . . .. .. .4 5、交通灯显示电 路. . ... . ...... . ... ...... . . . . . . . .. .

.............56、总体电路原理相关说 明. .. . . .. . . . .. . .. . . . . . . .. ...... . . .. .6 7、总体电路原理 图..... . .. .. .... . ..... . ... . . .. . ...... (7) 8、元件清 单. . . . .. . . . .. ... . ...... . . . . . . . .. . . . . ... . . . . .8 参考文献. .. .. .. ... . .. .. . . ... .. . ... . . . .. . .. . . . .. ... ... . .... . ...... ....9 设计心得体 会. . . . . .. . . . .. ... . . .... ... ... .. ..... .. . . . . . . . ... . ... ... ..10

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

基于EDA十字路口交通灯控制器设计

十字路口交通灯控制器设计 一、实验目的 1、进一步加强经典状态机的设计 2、学会设计模可变倒计时计数器 二、实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三、实验思路 1、设计一个状态寄存器,控制六盏灯的亮与灭 2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求: 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数 3、使用文本设计底层文件,并生成相应元器件,再使用原理图设计顶层文件 四、实验步骤 1、建立工作库文件夹和编辑设计文件 1)建立一个文件夹保存工程文件; 2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入以下程序 控制6盏灯的模块代码: LIBRARY IEEE;

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用Multisim软 件仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题、解决问题以及团队协作能力。巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题,巩固课程中所学的理论知识和实验技能。 二、设计要求 1、设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 5、假定+5V电源给定。 三、总体概要设计 设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都为45s,每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的总体设计方案如下图所示所示。90进制加法计数器作为该系统的主控制电路,控制东西方向和南北方向交通的及LED显示,秒信号发生器产生整个定时系统的时间脉冲,通过加法计数器对秒脉冲加计数,当到达固定时刻,控制LED显示的减法计数器进行数制转换,交通灯做出相应的变化。 交通灯控制系统的总体设计方案 电

电路流程图 四、局部细节设计 一、秒脉冲电路部分

二、主控电路(89进制加法计数器)部分 三、东西方向减法计数器及LED显示部分

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

交通灯控制系统设计案例(DOC)

交通灯控制系统设计 第1部分方案选择及总体设计 1. 1方案选择 能实现此电路的方法很多,我们根据实际将范围定在以下几个比较切合我们的方案中。 第一种方案:采用数字电子技术实现。用基本的555芯片(利用单稳态实现定时),计数芯片(如74LS163, 74LS160等)完成计时功能,控制电路芯片,译码芯片(如74LS138)等基本芯片,结合电阻,电容等基本元件,通过逻辑电路实现交通灯的功能。 第二种方案:使用单片可编程来实现交通灯的功能。利用单片机的外围扩展,显示电路构成基本硬件。然后编程实现对定时,控制,显示电路的控制,然后调试,完成设计。 结合实际情况,根据毕业设计任务书的要求,我们经过讨论和论证,最终一致选用第二种方案的设计思路对交通灯控制系统进行设计。 1. 2总体设计 1. 2. 1系统构成 整个系统的构成以80C51单片机为核心,由I/O 口扩展,LED数码管显示,紧急情况中断电路还有复位电路等组成。 单片机作为整个硬件系统的核心,它既是协调整机工作的控制器,又是数据处理器。它由单片机、时钟电路、复位电路等组成。 行车方向指示采用LED发光二极管,可有红、绿两种颜色指示放行与禁止,黄灯作为红绿转换的提示,形象直观。 行人通行指示也同样采用LED发光二极管,用红、绿两种颜色指示放行与禁止,黄灯作为红绿转换的提示,形象直观,简洁明了,更方便控制。 按键控制台,可供警察在室内实时监视交通状况。通过按键可设置紧急情况发生时的交通灯状态控制人机界面非常友好。 系统采用双数码管倒计时计数功能,最大显示数字 99。 友好的人机界面、灵活的控制方式、优化的物理结构以

及丰富的功能是本设计的亮点。

相关文档
最新文档