数字电子技术实验讲义试用

数字电子技术实验讲义试用
数字电子技术实验讲义试用

数字电子技术实验

简要讲义

适用专业:电气专业

编写人:于云华、何进

中国石油大学胜利学院机械与控制工程学院

2015、3

目录

实验一:基本仪器熟悉使用与基本逻辑门电路功能测试..................、、3 实验二:小规模组合逻辑电路设计............................................................、4 实验三:中规模组合逻辑电路设计............................................................、5 实验四:触发器的功能测试及其应用 (7)

实验五:计数器的功能测试及其应用 (8)

实验六: 计数、译码与显示综合电路的设计....................................、、 (9)

实验一:基本仪器熟悉使用与常用门电路逻辑功能测试

(建议实验学时:2学时)

一、实验目的:

1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配;

2、掌握门电路的逻辑功能测试方法;

3、掌握简单组合逻辑电路的设计。

二、实验内容:

1、测试常用数字集成逻辑芯片的逻辑功

能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。

2、采用两输入端与非门74LS00实现以下逻辑功能:

①F=ABC ②F=ABC③F=A+B ④F=A B+A B

三、实验步骤:(学生根据自己实验情况简要总结步骤与内容)主要包括:

1、实验电路设计原理图;如:实现F=A+B的电路原理图:

2、实验真值表;

:

输入输出

A B F3

0 0 灭

0 1 亮

1 0 亮

1 1 亮

四、实验总结:

(学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)

注:本实验室提供的数字集成芯片有:

74LS00,

74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112,74LS138,74LS1 53, 74LS161

实验二:小规模组合逻辑电路设计

(建议实验学时:3学时)

一、实验目的:

1、学习使用基本门电路设计、实现小规模组合逻辑电路。

2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。

二、实验内容:

1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号)

2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号)

3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下: 人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则:

O型血可以输给任意血型的人,但O型血的人只能接受O型血;

AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;

A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血;

B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。

试设计一个检验输血者与受血者血型就是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。

约定“00”代表“O”型

“01”代表“A”型

“10”代表“B”型

“11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号)

三、实验步骤:(学生根据自己实验情况简要总结步骤与内容),与实验一说明类似。

四、实验总结:

(学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)

注:本实验室提供的数字集成芯片有:

74LS00,

74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112,74LS138,74LS1 53, 74LS161

实验三:中规模组合逻辑电路设计

(建议实验学时:3学时)

一、实验目的:

1、学习使用常用组合逻辑芯片设计、实现中规模组合逻辑电路。

2、学会测试、调试中规模组合逻辑电路的输入、输出逻辑关系。

二、实验内容:

1、用一个3线8线译码器与最少的门电路设计一个奇偶检验电路,要求当输入的四个变量中有偶数个1时输出为1,否则为0。(预习时画出电路原理图,注明所用芯片型号)

2、用4选1数据选择器74LS153实现三输入变量的奇偶检验电路。当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号)

3、七段显示译码电路设计:利用集成8421BCD译码器MC4511(或CD4511)对输入的4位二级制数译码,并用共阴极数码管显示。(预习时查出MC4511、共阴极数码管的内部结构及管脚分配,画出原理图)

三、实验步骤:(学生根据自己实验情况简要总结步骤与内容),主要包括:

1、实验原理图;如:

2、

实验真值表; 3、

实验结果记录。如:

四、实验总结:( 学生根据

自己实验情况,简要总

结实验中遇到的问题及其解决办法) 数码管的管脚图 m c4511管脚图 输入

输出 LE BI LT D C B A a b c d e f g X X 0 X X X X 1 1 1 1 1 1 1 X 0 1 X X X X 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 0 1 0 1 1 0 0 0 0 0 1 1 0 0 1 0 1 1 0 1 1 0 1 0 1 1 0 0 1 1 1 1 1 1 0 0 1 0 1 1 0 1 0 0 0 1 1 0 0 1 1 0 1 1 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 0 0 0 0 0 1 1 1 0 0 0 1 1 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 0 0 1 1 0 1 1 1 0 1 0 0 0 0 0 0 0 0 0 1 1 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 0

0 0 0

1

1

1

X

X

X

X

锁存

实验四:触发器的功能测试及其应用

输入

输出

A B F3

0 0 灭 0 1 亮

1 0 亮

1 1 亮

数字电子技术实验讲义

实验一示波器与数字电路实验箱的使用及门电路 逻辑功能测试、变换(验证) 一、实验目的: 1、熟悉示波器及数字电路实验箱的使用 2、验证门电路的逻辑功能 3、掌握门电路的逻辑变换 二、实验仪器及器材 1、Vp—5225A—1 2、数字电路实验箱 3、器件:74LS00(二输入与非门)、74LS02(或非门)、74LS86(异或门) 说明:1)以上三个门电路中的V CC接电源电压,GND接地。 2)A、B为输入端,Y为输出端,指示灯亮为高电平,灯灭为低电平。 3)实验时,检查导线是否折断,方法:一端接电源,一端接指示灯。 三、实验内容: 1、熟悉示波器各旋钮的功能作用并学会正确使用。 2、熟悉数字电路实验箱并正确使用。 3、时钟波形参数的测量 1)测量脉冲波形的低电平和高电平。(取f=1KHZ) 2)测量脉冲的幅度(V OM),脉宽(T P),周期(T)。(取f=1KHZ) 3)用示波器调出频率f=2KHZ的波形图,并画出波形图。 4、门电路逻辑功能测试 74LS00(二输入与非门)、74LS02(或非门)、74LS86(异或门) 5、用与非门(74LS00)实现其它门电路的逻辑功能 1)实现或门逻辑功能:写出转换表达式,画出电路图并验证功能。 2)实现异或门逻辑功能:写出转换表达式,画出电路图并验证功能 四、数据记录及处理: 1、脉冲波形参数的测量 1)V H=?V L=? 2)V OM=?T P=?T=? 3)画出频率f=2KHZ的波形图 2、门电路逻辑功能测试

74LS00 与非门74LS02 或非门74LS86 异或门 1)写出逻辑表达式的变换 A+B= 2)画出电路图 3)功能测试 4、用与非门74LS00实现异或门的逻辑功能 1)写出逻辑表达式的变换 A B= 2)画出电路图 3)功能测试 五、注意事项: 1、示波器的辉度不要太亮。 2、V/DIN衰减开关档应打得合适。 3、插入芯片时,应注意缺口相对,否则就错了。 4、接线时,注意检查电源、地线是否接正确。 六、思考题: 在给定的器件中,自己选择一个器件并设计电路,使输入波形与输出波形反相,用示波器观察。 七、小结

数字电子技术实验讲义(电13)

……………………………………………………………精品资料推荐………………………………………………… 数字电子技术 实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标, 并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

参考答案模拟电子技术实验指导书

实验一常用电子仪器的使用 一、实验目的 1?熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2?学习使用低频信号发生器和频率计。 3?初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图 1 —1所示。接线时应注意,为防止外 界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 交流奄伏表直流稳压电源 图1—1模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。 通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值u m、周期T (或频率f) 和初相;脉冲信号的波形参数是幅值4、周期T和脉宽T P。幅值U、峰峰值U P-P和有效值都可表示正弦量 U m、 1 的大小,但用示波器测U P-P较方便(用万用表交流电压档测得的是正弦量的有效值U斗)。由于频率f=丄, V2 T 所以测出周期T,即可算得频率。矩形脉冲电压,可用周期T,脉宽T P和幅值Un三个参数来描述。T P与T 之比称为占空比。 三、实验内容和步骤 1 .检查示波器

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术训练讲义doc - 深圳职业技术学院

《数字电子技术训练》讲义 深圳职业技术学院 工业中心电子技术基础教研室编印 2006年1月

实训一 信号灯的逻辑控制 一、 实训目的 1.了解逻辑控制的概念 2.掌握表示逻辑控制的基本方法 二、 实训设备与器件 发光二极管、限流电阻、继电器两个、直流电源、导线若干 三、 实训电路与说明 图1.1为实训电路图。这是一个楼房照明灯的控制电路。设A 、B 分别代表上、下楼层的两个开关,发光二极管代表照明灯。在楼上按下开关A ,可以将照明灯打开,在楼下闭合开关B ,又可以将灯关掉;反过来,也可以在楼下开灯,楼上关灯。 四、 实训内容与步骤 (1)连接电路 表1.1 按图1.1连接好电路,注意JA 、JB 两个继电器的开关不要接错。 (2)试验开关和发光二极管的逻辑关系 接通电源,分别将开关A 、B 按表1.1的要求接通或者断开,观察发光二极管F 的亮灭情况,并填入表1.1中。 五、 实训结论与分析 通过上述实训,可做如下总结: (1)实训图中,JA 和JB 分别代表继电器的两个线圈,JA K1、JB K1代表继电器的常开触点,JA K2、JB K2代表继电器的常闭触点。在实训图所示的状态下(开关A 、B 均断开),由于没有通路给发光二极管供电,所以发光二极管灭;开关A 闭合,继电器线圈JA 通电,其常开触点JA K1闭合,常闭触点JA K2断开,JB K1 、JB K2则维持原来状态,此时图1.1最上面的一条电路连通,通过电源给发光二极管供电,发光二极管亮。同样道理,如果只闭合开关B ,也会给发光二极管构成通路使之点亮;当开关A 、B 均闭合时,由于没有通路,所以发光二极管灭,读者可自行分析。 (2)发光二极管F 的状态,我们称为输出,是由开关A 、B 来决定的,开关A 、B 称为输入。输出和输入是一种逻辑控制电路,而且输入量和输出量都只分别对应两种状态。 (3)从试验结果可以看出,当A 、B 同时闭合,或者同时断开,即处于相同状态时,二极管灭;相反,当A 、B 处于不同状态时,发光二极管点亮。如果定义开关闭合和灯亮为逻辑“1”,定义开关断开和发光二极管不亮为逻辑“0”,则A 、B 、F 都可用两种逻辑状态“1”、 图1.1 照明灯的逻辑控制电路 开关A 开关B 发光二极管F 断开 断开 闭合 闭合 断开 闭合 断开 闭合

模拟电子技术实验指导书

实验一 基尔霍夫定律的验证 基尔霍夫定律是电路的基本定律,它适用于任何集总参数电路。任意一个集总参数电路中各支路电流以及每个元件两端的电压都应该能够满足基尔霍夫电流定律(KCL)和基尔霍夫电压定律(KVL)。 2.1.1 基尔霍夫定律的基本原理 1. 基尔霍夫电流定律 基尔霍夫电流定律描述电路中各电流的约束关系,又称为节点电流定律。基尔霍夫电流定律(KCL )指出:在集总参数电路中,任意时刻,对任意结点流出(或流入)该结点电流的代数和等于零,即: ∑==m k t i 1 0)(,或∑∑出 入 =i i (2.1.1) 基尔霍夫电流定律(KCL )不仅适用于 电路节点,还可以推广运用于电路中包围多 个结点的任一闭合面。例如,如图2.1.1所示, 封闭面所包围的局部电路,有3条支路与电路 的其他部分相连接,其电流分别为I 1、I 2、I 3, 依基尔霍夫电流定律(KCL )有:I 3= I 1+ I 2。 图2.1.1 基尔霍夫电流定律的推广 2. 基尔霍夫电压定律 基尔霍夫电压定律描述了电路中元件电压的约束关系。基尔霍夫电压定律(KVL )指出:在集总参数电路中,任意时刻,沿任意闭合路径绕行,各元件电压的代数和为零,即: ∑==m k t v 1 0)(,或∑∑升 降 =v v (2.1.2) 2.1.2 基尔霍夫定律仿真分析 仿真电路如图2.1.2所示。 1. 理论分析 根据基尔霍夫定律,可以列出图2.1.2所示 电路的KCL 和KVL 方程: ? ? ?=?+?=++015-18020 022121R R R R I I I I (2.1.3) 解方程得:I R 1=-6A ,I R 2=4A ,从而得到 R 1两端电压V R 1=-120V ,R 2两端电压V R 2=60V 。 图2.1.2 基尔霍夫定律仿真电路 2. 仿真步骤 (1) 依次点击Multisim 11元件工具条上的“Place Source s\Power Sources\DC_Power”放置直流电压源,“Place Sources \Signal_Current Sources\DC_Current”放置直流电流源,“Place I1 I2 I3 R1R2 R3R5 R4

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术经典复习资料全

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点: 与 运 算:见零为零,全1为1;或 运 算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变1,1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”, “0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y',Y'称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数 解:反函数:;对偶函数:

参考答案 模拟电子技术实验指导书(2012)

实验一 常用电子仪器的使用 一、 实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 图1—1 模拟电子电路中常用电子仪器布局图 1. 低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V (峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值U m 、周期T (或频率f )和初相;脉冲信号的波形参数是幅值U m 、周期T 和脉宽T P 。幅值U m 、峰峰值U P-P 和有效值都可表示正弦量的大小,但用示波器测U P-P 较方便(用万用表交流电压档测得的是正弦量的有效值U= 2 m U )。由于频率f= T 1 , 所以测出周期T ,即可算得频率。矩形脉冲电压,可用周期T ,脉宽T P 和幅值U m 三个参数来描述。T P 与T 之比称为占空比。 三、 实验内容和步骤

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

模拟电子技术实验指导书

河海大学文天学院 电子技术实验指导书 模拟电子技术 王飞 2014.2

实验一 晶体管单管放大电路 一、实验目的 1.学习放大电路静态工作点调试方法,分析静态工作点对放大电路性能的影响。 2.学习放大电路电压放大倍数及最大不失真输出电压的测量方法。 3.测量放大电路输入、输出电阻。 4.进一步熟悉各种电子仪器的使用。 二、实验原理 图1-1为电阻分压式静态工作点稳定放大电路,它的偏置电路采用R B1 = R W1 + R 3和R B2 = R W2 + R 4组成的分压电路,并在发射级中接有电阻R E = R 6,用来稳定静态工作点。当在放大电路输入端输入信号U i 后,在放大电路输出端便可得到与U i 相位相反、被放大了的输出信号U 0,实现了电压放大。R 1和R 2组成输入信号的分压电路,其目的是防止输入信号过大,损坏三极管。 图1-1 在电路中静态工作点为: CC B B B B U R R R U 2 12 += E E E BE B E R U R U U I = -= )(E C C CC CE R R I U U +-= 动态参数: 电压放大倍数k 3.3//50==-== R R R R U U A C be L C i U γβ

其中) mA () mv (26) 1(300E be I r β++= 输入电阻:若开关合上,即R 7短接 be B B i r R R r ////21= 输出电阻:5R R r C o == 放大电路输入电阻测试方法:若输入信号源U S 经R 1 = 5.1k 与C 1串联后再接到三极管 V 1的基极,测得U S 和'i U ,即可计算出1' ' R U U U r i S i i ?-= 输出电阻可用下式计算:L R U U r )1(0 '00-= 其中' 0U 为R L 未接入时(R L = ∞)U 0之值,U 0为接入R L 时U 0之值。 1.静态工作点的测试 1)静态工作点的测量 放大电路的静态工作点是指在放大电路输入端不加输入信号U i 时,在电源电压V CC 作用下,三极管的基极电流I B ,集电极电流I C 以及集成极与发射极之间的电压U CE 等。测量静态工作点时,应使放大电路输入信号U i = 0,即将信号源输出旋钮旋至零(通常需将放大电路输入端与地短接)。然后测出I C ,或测出R E 两端电压,间接计算出I C 来,I B = I C / β, U BE , U CE 用数字式直流电压表进行测量,在测试中应注意: a) 测量电压U BE 、U CE 时,为防止引入干扰,应采用先测量B 、C 、E 对地的电位后进行计算,即: U BE = U B – U E U CE = U C – U E b) 为了测量I B 、I C 和I E ,为了方便起见,一般先直接测量出U E 后,再由计算得到: E E E C R U I I == β C B I I = 总之,为了测量静态工作点只需用直流电压表测出U C 、U B 、U E 即可推算出。 2)静态工作点的调试: 放大电路的基本任务是在不失真的前提下,对输入信号进行放大,故设置放大电路静态工作点的原则是:保证输出波形不失真并使放大电路具有较高的电压放大倍数。 改变电路参数U CC 、R C 、R B 都将引起静态工作点的变化,通常以调节上偏置电阻取得一合适的静态工作点,如图1-1中调节R W1。R B1减小将引起I C 增加,使工作点偏高,放大电路容易产生饱和失真,如图1-2-a 所示,U 0负半周被削顶。当R B1增加,则I C 减小,使工作点偏低,放大电路容易产生截止失真,如图1-2-b 所示。U 0正半周被缩顶。适当调节R b1可得到合适的静态工作点。

数字电子技术实验讲义(电13)

数字电子技术实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标,并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

1、电路设计错误。 2、布线错误。 3、集成块使用不当或功能不正常。 4、接触不良。 5、电源电压不符合要求。 在我们的实际实验过程中,故障最多的情况当属接触不良和布线错误。为了使实验能顺利进行,减少出现故障的可能性,实验过程必须做到仔细、认真、有步骤地进行。并注意以下几点: 1、插集成元件时,应注意校准其所有引脚,使其端、直、等距。然后慢慢插入实验板,以免用力过猛而折断或弯曲集成元件的引脚。并注意集成元件方向,以免倒插。双列直插式集成元件一端具有半圆形定位标记,其下方为第1引脚,上方为最后一个引脚,引脚序号以逆时钟方向递增。 2、在布线之前,最好先对实验所用集成元件进行逻辑功能测试,这样就可以避免在实验中因元件功能不正常而产生电路工作不正常。实际上预先检查元件的逻辑功能并不需花费多少时间。 3、布线所用导线为单芯直径约0.6nm的导线,布线时注意导线不要垮接在集成元件的上面,也不要使其交叉连接在空中搭成网状,而应使导线贴近实验板连接,沿水平和垂直两个正交方向走向。 4、布线时应有顺序地进行,以免漏接。连接时,首先连接固定电平的引脚,如电源正负极、门的多余输入端、工作过程中保持高电平或低电平的置位、复位和选通端等。然后再按照信号流向顺序依次布线。 5、对于使用集成元件较多的大型实验,应分块连接,调试,最后总体连接。 在实验电路设计正确的情况下,布好线又经检查后,一般出问题的机率是不多的。并且数字电路中的故障一般比模拟电路中的故障较易检查和排除。对于实验中出现的故障进行排除时,要保持头脑冷静,有分析地逐步进行,避免抱着侥幸心理乱碰,或在几分钟内找不到故障所在,则束手无策,甚至把连线全部拨掉,从头开始,这样太浪费时间。

数字电子技术讲义 第三章 组合逻辑电路

第三章 组合逻辑电路 根据组合逻辑电路的不同特点,数字电路分成:组合逻辑电路(组合电路) 时序逻辑电路(时序电路) 组合逻辑电路的特点:任意时刻的输出仅仅取决于该时刻的输入,与电路原来状态无关。 ()n i i A A A f F Λ21,=(i =1,2,…m ) 3.1组合逻辑电路的分析 组合逻辑电路的分析方法:1)由逻辑图写出各输出端的逻辑表达式 2)化简和变换各逻辑表达式 3)列真值表 4)分析确定功能 例: C B A L ⊕⊕= 3.1.1 分析加法器 半加器真值表 (1)1位加法器 1)半加器 不考虑由低位进位来的加法器 B A A S ⊕== A B B

AB Co = 2)全加器 考虑低位进位的加法器 CI B A CI AB CI B A BCI A S +++= 全加器真值表 CI B B A CI A CO ++= S “奇数个1时,S 为1”CI “两个以上1时,CI 为1” A (2)多位加法器 1、并行相加串行进位的加法器 例如:四位二进制数A 3 A 2A 1A 0和B 3 B 3 B 3 B 3相加 CI CO Σ CI CO Σ CI CO Σ CI CO Σ CO S 1 S 0 S 2 S 3 A 0B 0 A 1 B 1 A 2 B 2 A 3 B 3 每位进位信号作为高位的输入信号――串行进位 故任一位的加法运算必须在低一位的运算完成后才能进行――速度慢 2、超前进位 00011011 0 1 A B CI 01011 1 00011011 0 1 A B CI 00100 1 1 1

每位的进位只由加数和被加数决定,而与低位的进位无关。 1-⊕⊕=i i i i C B A S ()1-⊕+=i i i i i i C B A B A C 3.1.2 分析数据选择器 数据分配器:将公共数据线上的信号送往不同的通道 数据选择器:将不同通道的信号送往公共数据线 74LS153为例:通过给定不同的地址代码,即可从4个输入数据中选出所要得输出 函数式:()()()()[] 01130112011101101A A D A A D A A D A A D Y +++= 总结:1、数据选择器可将多通道输入的数据有选择的传送到输出端 2、数据选择器还可作为一般的逻辑函数产生器,一个2n 选一的数据选择器可以产生n 或少于n 个输入变量的逻辑函数 3、构成逻辑函数产生器的关键是确定常量输入端的逻辑值。可由导出的最小项或真值表获得。 3.1.3 分析多路分配器 D A A D 010= D A A D 011= D A A D 012= A A D 013= A A D 3.1.4 分析数值比较器 (1)1位数值比较器 两个数AB 比较(A >B ,A

相关文档
最新文档