数字电路练习题

数字电路练习题
数字电路练习题

第一章 数字电路基础

1、 数制转换:(86)十 =( )二 =( )八 =

( )十六=( )8421BCD

2、 逻辑函数的表示方法

有: 、 、 、 和 。

3、 任意两个最小项之积恒为 ,全体最小项之和恒

为 。

4、 若与或逻辑函数表达式F 中某一个乘积项为1,则该表达式

F = 。

5、 逻辑函数F =A B +CD 其反函数F = ,对偶式

F '= 。 6、 函数F =AB +AC +BC +C D +D F =A +B C ,其最简与或式

为 。

7、 n 个变量可以构成 个最小项。

8、 用摩根定理可以实现逻辑式的“与”项和“或”项的相互转

换,其中: A B =

B A +, A+B = B A ?。 9、 将1999个1异或起来得到的结果为 ,而2000个1异

或的结果是 。

1、 函数F(A,B,C,D)=∑m(0,2,3,8,9,11,13,15)+∑d(10)最简与

或式为( )。

① F=D B AC

C B ++ ② F=

D B AD C B ++ ③ F=D B AC C B ++ ④ F=D B AD C B ++

2、 F(A,B,C)=)(B A BC A ++,当A,B,C 取( )值时,F =1。

① 111 ② 101 ③ 110 ④

011

3、 下列函数中( 3 )式是函数Z =A B +AC

的最小项表达式 ①Z =A BC +ABC +ABC ②Z =A BC +ABC +A BC

③Z =ABC +A BC +A BC ④Z =

A B +A C +B C 4、 能使逻辑函数F =A B C D ⊕⊕⊕均为1的输入变量组合是

( 1 )。

①1101,0001,0100,1000 ②1100,1110,1010,

1011

③1110,0110,0111,1111 ④1111,1001,1010,

0000

5、 与门的真值表为( )。

6、下图所示电路完成的逻辑功能是( 1 )。

①()

F C A B

=+②

F C A B

=+

③F C A B

=④()

F C A B

=+

7、两输入变量A、B的逻辑门输出变量为F,它的输入输出波形

如下图所示,该门为( )。

①与非门②或非门

③同或门④异或门

A

B

F.

8、异或逻辑Z对应的逻辑图是( 1 )。

第二章逻辑门电路

1、在数字电路中,晶体三极管常常工作在和

状态。

2、三种基本的逻辑门是、

和。

3、按可以把集成电路分为小规模(SSI)中规

模(MSI)和大规模(LSI)和超大规模(VLSI)集成电路。

4、仅有一种载流子参与导电的器件称为;

有两种载流子参与导电的器件称为。

5、TTL或非门,只要有一个输入为高电平,则输出就为

电平,所以或非门的多余输入端应接入电平。

6、TTL与非门,只要有一个输入为低电平,则输出就为

电平,所以与非门的多余输入端不能接电平。

7、直接将两个门的输出连在一起实现“与”逻辑关系的接法

叫。

8、三态门有三种状态:、

和。

9、TTL三态门、OC门、与非门、异或门和或非门电路中,能

实现“线与”逻辑功能的门为;能实现总线连

接方式的门是。

10、CMOS与非门中,未使用的输入端应

当。

11、TTL电源电压为,CMOS电源电压

为。

12、CMOS门电路的输入阻抗很高,所以静态功

耗,但由于存在输入电容,所以随着输入信

号频率的增加,功耗也会。

13、TTL集成门电路的平均传输延迟时间比CMOS集成门电

路,功耗比CMOS门电路的。

1、硅二极管截止的条件是( )。

①u VD<0.7V ②u VD<0.5V ③u VD>0.7V

④u VD>0.5V

2、欲将二输入端的与非门、异或门、或非门作非门使用,多

余输入端的接法可依次是( )。

①接高电平、接高电平、接低电平②接高电平、接低

电平、接低电平

③接高电平、接高电平、接高电平④接低电平、接低

电平、接低电平

3、下列门电路工作速度最快的一种是( )。

①TTL②CMOS③NMOS④CMOS

4、对TTL与非门多余输入端的处理,不能将它们( )。

①与有用输入端连在一起②悬空

③接正电源④接地

5、74HC08是()型的()逻辑功能芯片。

① TTL,与② TTL,或

③ CMOS,与④ CMOS,或

6、SN74LS20是()型的()逻辑功能芯片

① TTL,四输入与非② TTL,四输入与非

③ CMOS,二输入与非④ CMOS,二输入与非

第三章组合逻辑电路

1、从结构上看,组合逻辑电路由门电路构成,不含

和,信号从输入开始单向传输到输出。

2、组合逻辑电路是指任何时刻电路的输出仅由当时的

决定。

3、用文字、符号或者数码表示特定对象的过程叫

做。

4、用二进制代码表示有关对象的过程叫,n

位二进制编码器

有个输入,个输出。

5、把代码的特定含义翻译出来的过程叫,n位二进

制译码器

有个输入,个输出,工作时译码器只有一个输出有效。

6、一位二进制编码器若需要对12个输入信号进行编码,则

要采用位二进制代码。

7、5变量输入译码器,其译码输出信号最多有

个。

8、输出高电平有效的4-16线译码器的输入ABCD=1010时,

输出Y0~Y15= 。

9、二-十进制译码器是将输入BCD码的个代码译成

个高、低电平输出信号。

10、输出低电平有效的二-十进制译码器的输入8421BCD码为

0110时,输出Y9~Y0= 。

11、驱动7段管显示器的译码器称为。

12、共阴极数码管要用输出有效的译码器驱动,

共阳极数码管要用输出有效的译码器驱动。

1、在下列电路中,只有( 3 )属于组合逻辑电路。

①触发器②计数器③编码器④寄存器

2、用二进制代码表示有关对象(信息)的过程称为( );

一般地说,n位

二进制代码共有( 3 )状态。

①编码;n种②译码;n种③编码;2n种④译码;2n种

3、在二进制译码器中,若输入有4位代码,则输出有( 4 )

信号。

①2个②4个③8个④16个

4、在二进制译码器中,若输入有4位代码,则输出有( 4 )

信号。

①2个②4个③8个④16个

5、在组合逻辑电路的常用设计方法中,可以用( 1 )来

表示逻辑抽象的结果

①真值表②状态表③状态图④特

性方程

6、在74LS148为输入低电平有效,输出低

电平有效的优先编码器,当输入

I7~I0=11110110时,输出Y2~Y0为

()。

①100 ②011 ③000 ④

111

7、74LS138为一3线-8线译码器,输入高电平有效,输出低

电平有效,当输入端A2A1A0为110时,输出Y7~Y0为()。

①01000000 ②10111111 ③00000010 ④11111101

8、74LS42为一二十进制译码器,输入高电平有效,输出低电

平有效,当输入端A3A2A1A0为1110时,输出Y9~Y0为()。

①0000000000 ②1111111111 ③010*******

④1011111111

9、半导体数码管的每个显示段都是由()构成的。

①灯丝②发光二极管③发光三极管

④熔丝

10、74LS247为输入高电平有效、输出低电平有效的4线/7段

译码器,当输入二进制数码A3A2A1A0为0110时,74LS247输出

Y a~Y g为(),与之相连的共阳极数码管显示字形为

()。

①0100000,6 ②0100000,9 ③1011111,9

④1011111,6

11、74LS48为输入高电平有效、输出高电平有效的4线/7段

译码器,当输入二进制数码A3A2A1A0为0110时,74LS48输出

Y a~Y g为(),与之相连的共阴极数码管显示字形为

()。

①0100000,6 ②0100000,9 ③1011111,9 ④1011111,6

第四章触发器

1、具有两个稳定状态并能接收、保持和输出送来的信号的电

路叫。

2、一个触发器可以记忆二进制信息,共有

种状态。

3、n级触发器可以记忆种不同的状态。

4、按触发器的结构和工作特点不同,触发器可分

为、、

和。

5、用按照时钟脉冲下逻辑功能的不同,时钟触发器可分

为、、、和。

6、根据开关元件的不同触发器可以分为型触发器和

型触发器。

7、触发器的功能表示方法有、

和。

8、同步触发器存在现象,为了避免空翻,常

采用结构的触发器。

9、主从触发器存在现象,为了解决主从触发

器的问题,进一步提高触发器的抗干扰能力,便出现了。

10、两个与非门构成的基本RS触发器的特性方程

是。

11、D触发器的特性方程是。

12、JK触发器的特性方程是。

13、T触发器的特性方程是。

14、T’触发器的特性方程是。

15、把JK触发器换成T’触发器和方法

是。

16、把D触发器换成T’触发器和方法

是。

17、触发器的异步输入端R D和S D称为端和

端。

1、两个与非门构成的基本RS触发器的输入R=0、S=1时,触

发器的输出Q和Q为()。

① 0,1 ② 1,0 ③ 1,1 ④0,1

2、边沿D触发器输入D=0,输出Q现在状态为0,当下一个脉

冲到来时,输出Q为(),当输入D=0,输出Q现在状

态为1,当下一个脉冲到来时,输出Q为()。

① 0,0 ② 1,0 ③ 1,1 ④0,1

3、边沿JK触发器输入J=1,K=0,输出Q现在状态为0,当下

一个脉冲到来时,输出Q为(),当输入J=1,K=1,输

出Q现在状态为0,当下一个脉冲到来时,输出Q为()。

① 0,0 ② 1,0 ③ 1,1 ④0,1

4、若JK触发器的原状态为0,如果下一个CP作用后仍保持

0状态,同输入驱动J、K的值分别为()。

① 0,0 ② 1,0 ③ 1,1 ④0,1

5、集成边沿触发器74LS112为()型边沿()

触发器,CC4027逻辑功能和74LS112相同,采用的元件不同,

它是()型的。

①CMOS,D,TTL②TTL,D,CMOS

③CMOS,JK,TTL④TTL,JK,CMOS

6、集成边沿触发器74LS74为()型边沿()

触发器,CC4013逻辑功能和74LS112相同,采用的元件不同,

它是()型的。

①CMOS,D,TTL②TTL,D,CMOS

③CMOS,JK,TTL④TTL,JK,CMOS

7、某触发器在输入为0时,触发器状态保持不变,输入为1

时触发器状态翻转,则该触发器为()触发器。

① D ② JK ③ T ④ T’

8、某触发器只有翻转功能,来一个脉冲翻转一下,则该触发

器为()触发器,如该触发器现在状态为0,则下一

个脉冲到来后,触发器的状态为()。

①T,0 ②T’,0 ③T,1

④ T’,1

9、四路抢答器电路设计项目中,使用74LS74芯片的目的是

( )。

①对抢答信号进行编码②对抢答信号进行译码

③对抢答信号进行锁存④对抢答信号进行定时

第五章时序逻辑电路

1、数字逻辑电路可以划分为两类:

和。

2、时序逻辑电路的输出不仅与该时序的有关,而

且与有关。

3、是最简单的时序电路,是时序电路的基本单元。

4、时序逻辑电路的功能表示方法

有、、和。

5、根据时序电路中存储单元(触发器)状态变化的特点,时

序逻辑电路可分为和。

6、计数器主要功能是对输入脉冲个数进行,同时还具

有、等功能。

7、计数器内部所有触发器用同一个时钟脉冲的计数器

为。

8、计数时状态按二进制的规律变化的计数器称

为,计数时状态按BCD码的规律变化的计数器称为。

9、n位二进制计数器的计数长度为,二-十进

制计数器的计数长度为。

10、构成N进制计数器的方法有、

和。

11、设要构成的N进制计数器的有效循环为S0~S N-1,如果采用

异步清零的芯片用清零法构成,则清零状态为,如果采用同步清零的芯片用清零法构成,则清零状态

为。

12、设要构成的N进制计数器的有效循环为S m~S N+m-1,如果采

用异步置位的芯片用置位法构成则置位状态

为,所置成的状态为,如果采用

同步置位的芯片用置位法构成,则置位状态为,所置成的状态为。

13、可以用来暂时存放数据的器件称为,n级

寄存器可以存放位二进制数据。

14、基本寄存器有边沿触发的和电位控制型的

两类。

15、移位寄存器可以用来和。

1、下列电路中,不属于时序逻辑电路的是()。

①触发器②计数器③寄存器④译码器

2、8位移位寄存器可以存放()位二进制代码。

① 4 ② 8 ③ 16 ④256

3、构成模值为256的二进制计数器,需要()个触发

器。

① 2 ② 128 ③ 8 ④

256

4、同步计数器是指()的计数器。

①由同类型的触发器构成

②各触发器时钟端连在一起,统一由系统时钟控制

③可用前级的输出做后级触发器的时钟

④可用后级的输出做前级触发器的时钟

5、由10个触发器构成的二进制计数器,其模值为()。

①10②20③1000④1024

6、若4位同步二进制加法计数器当前的状态是0111,下一个

输入时钟脉冲后,状态变为()。

①0111②0110③1000④0011

7、若4位二进制加法计数器正常工作时,由0000状态开始

计数,则经过43个输入计数脉冲后,计数器的状态应是

()。

① 0011 ② 1011 ③ 1101 ④1110

8、设计一个能存放8位二进制代码的寄存器,需要()

个触发器构成。

① 8 ② 4 ③ 3 ④2

9、一个4位移位寄存器原来的状态为0000,如果串行输入始

终为1,则经过4个移位脉冲后寄存器的内容为( )。

①0001②0111 ③1110

④1111

10、用反馈清零法来改变由2片十进制加法计数器的模值,可

以实现()模值范围的计数器。

①1~10 ②1~16 ③1~100

④1~256

11、用反馈清零法来改变由2片4位二进制加法计数器的模

值,可以实现()模值范围的计数器。

①1~10 ②1~16 ③1~100

④1~256

12、异步清零计数器是指()的计数器。

①具有清零功能的同步计数器

②具有清零功能的异步计数器

③清零信号与时钟信号同时有效时才能清零的

④清零信号与时钟信号无关

13、74LS175的C R接高电平, D0~D3=1001,当下一个脉冲到

来后,输出Q0~Q3=()。

① 0000 ②高阻

③ 1001 ④ 1111

14、74LS373是一种()集成电路。

① 8D锁存器② 8位同步计数器

③具有三态输出的8D锁存器④ 8位异步计数器

15、74LS373的LE接高电平,E N接高电平,D0~D7从00000000

变成10011001时,输出Q0~Q7=()。

① 00000000 ②高阻

③ 10011001 ④ 11111111

16、74LS373的LE接低电平,E N接低电平,D0~D7从00000000

变成10011001时,输出Q0~Q7=()。

① 00000000 ②高阻

③ 10011001 ④ 11111111

17、74LS161是一种()集成电路。

① 4位同步二进制减法计数器② 4位同步二进制

加法计数器

③同步十进制加法计数器④异步十进制减

法计数器

18、74LS161清零端接低电平,置位端接低电平,D0~D3=0110

时,在下一个时钟脉冲到来后,输出D0~D3为()。

①0000 ②0111 ③1111

④ 0110

19、74LS160清零端接高电平,置位端接低电平,D0~D3=0110

时,在下一个时钟脉冲到来后,输出D0~D3为()。

①0000 ②0111 ③1111

④ 0110

20、74LS90是一种()集成电路。

① 4位同步二进制计数器② 4位同步二进制

计数器

③同步十进制计数器④异步十进制计

数器

21、74LS90脉冲从CP0输入,CP1接Q0,构成了一个()

进制递增计数器。

① 4位同步二进制②同步8421BCD码

十进制计数器

③ 4位异步二进制④异步8421BCD码

十进制计数器

22、74LS90脉冲从CP0输入,CP1接Q0,R0A、R OB接低电平,

S0A、S OB接低电平,现在状态Q0~Q3=1001,下一个脉冲到来后,

Q0~Q3=()。

①0000 ②1010 ③1001

④ 1111

23、74LS90脉冲从CP0输入,CP1接Q0,R0A、R OB接低电平,

现在状态Q0~Q3=1001,S0A、S OB从高电平变成低电平后, Q0~

Q3=()。

①0000 ②1010 ③1001 ④ 1111

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电路 实验简答题

1.如何通过示波器观察法确定触发器是上升沿触发还是下降沿触发? 答: 将输入信号和输出信号同时在双踪示波器上显示,输出在输入的下降沿变化,就是下降沿触发,反之就是上升沿 2.用TTL与非门组成反相器时,其多余输入端应如何处理?用TTL或非门组成反相器时,其多余输入端应如何处理?答: 与非门的任意一个输入端是低电平,则其它的输入端无论怎样变化,输出永远是高电平,所以,与非门多余的输入端必须要接高电平或电源正极,否则会阻断其它输入端的信号的。将或非门的多个输入端中的一个脚作输入,其余输入脚的都接低电平或电源负极,这样接法的输入输出也是一反向器. 3.在TTL与非门某输入端接10K电阻时相当于输入逻辑1还是逻辑0?如果接100欧姆时相当于输入逻辑1还是逻辑0? 答: 与非门输入端串接10K或100欧电阻只属于限流电阻,并不能代表高低电平信号。若输入的是高电平信号经10K或100欧电阻在与非门输入端时,输入逻辑为1;相反,若输入的是低电平信号经10K或100欧电阻在与非门输入端时,输入逻辑为0。 4.用示波器双通道观察某计数器的时钟信号与其某输出端信号的波形时,如何选择触发源才能使两波形都稳定?答: 以较低的频率信号作为触发源!在低频率信号的一个周期内,同时也很容易看到较高频率的另一个信号完整的一个周期以上的信号的情况。而反过来如果是以较高的频率信号作为触发源,那么由于周期短,需要数个周期才能容纳下低频信号的一个完整波形,所以就不易稳得下来。 5.用示波器测交流信号的幅度时,测的是什么?它与用万用表测的交流有效值之间的关系是什么?

答: 测的是电压值最大值;示波器测量电压是测量的瞬间电压状态(的图形),因 此我们可以得到被测量量的多项参数,比如,频率、波形、峰值等等。而用万用表只能得到被测量电压的有效值根号2倍 6.0设用示波器测量V=10V的直流电平,问示波器Y通道的电压灵敏度能否选择1V/div?如果测量V=-3V,电压灵敏度为500mv/div,示波器显示的波形相对于0电平的位置将向什么方向变化,变几个格? 答: 不能选择1V/div,超过示波器的显示范围了; 7.555定时器试验中,第5管脚为什么要经一个电容接地?第4管脚为什么要接+5V? 答:5脚为电压控制端,在此端外加电压可以改变比较器的参考电压,不用时,经 0.01uF的电容接地,以防止引入干扰;4脚为复位端,输入负脉冲(或使其电压低于 0.7V)可使555定时器直接复位 8.在555定时器构成的多谐振荡器试验中,如何用示波器测量电容c上电压的最小值和最大值?此时,Y通道的输入耦合方式应如何选择? 答: 先接地,将波形调至中间后断开接地,用水平光标测量最大最小值;DC直流 9.在数字电路试验箱上,如何用简单的方法测试与非门的好坏?

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

数字电路练习答案

《数字逻辑电路》习题参考答案 一、单项选择题 1.下列四个数中最大的数是( ) A.(AF)16 B.0)8421BCD C.(10100000)2 D.(198)10 2.将代码(10000011)8421BCD转换成二进制数为() A.(01000011)2 B.(01010011)2 C.(10000011)2 D.1)2 3.N个变量的逻辑函数应该有最小项() A.2n个 B.n2个 C.2n个 D. (2n-1)个 4.下列关于异或运算的式子中,不正确的是( ) A⊕=0 A.A⊕A=0 B.A C.A⊕0=A D.A⊕1=A 5.下图所示逻辑图输出为“1”时,输入变量() ABCD取值组合为 A.0000 B.0101 C.1110 D.1111 6.下列各门电路中,( )的输出端可直接相连,实现线与。 A.一般TTL与非门 B.集电极开路TTL与非门 C.一般CMOS与非门 D.一般TTL或非门 7.下列各触发器中,图( )触发器的输入、输出信号波形图如下图所示。 8.n位触发器构成的扭环形计数器,其无关状态数有( )个。 A.2n-n B.2n-2n C.2n D.2n-1

9.下列门电路属于双极型的是( ) A.OC 门 B.PMOS C.NMOS D.CMOS 10.对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为( ) A.RS=X0 B.RS=0X C.RS=X1 D.RS=1X 11.下列时序电路的状态图中,具有自启动功能的是( ) 12.多谐振荡器与单稳态触发器的区别之一是( ) A.前者有2个稳态,后者只有1个稳态 B.前者没有稳态,后者有2个稳态 C.前者没有稳态,后者只有1个稳态 D.两者均只有1个稳态,但后者的稳态需要一定的外界信号维持 13.欲得到D 触发器的功能,以下诸图中唯有图( )是正确的。 14.时序逻辑电路的一般结构由组合电路与( )组成。 A .全加器 B .存储电路 C .译码器 D .选择器 15.函数F=B A +AB 转换成或非-或非式为( ) A.B A B A +++ B.B A B A +++ C.B A B A + D. B A B A +++ 16.图示触发器电路的特征方程Q n+1 =( ) A.T n Q +n Q T B.Q T +TQ n C.n Q

成贤数字电路实验习题答案

数字电路习题答案(第一、二次实验) 2009-12-18 09:10 实验一: 1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。问若是“外触发”,是否也会影响信号波形的显示呢? 解:这道题主要从以下几种情况来分析: A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示; B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。 C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。 2. 实验内容3中,如何用示波器观察CH1+CH2的波形? 解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。 3. 简述用示波器测量TTL高、低电平的步骤。 解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。 4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术实验练习内容

数字电子技术实验练习内容标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DQQTY-

数字电子技术实验练习内容 实验二 TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门和三个二输入与非门设计一个电路,实现函数 ∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量 , 14 =) 11 , 13 , 12 输入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点是什么 实验三 CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路和一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理 2.CMOS集成电路的电压传输特性有什么特点 3.CMOS集成与非门、与门的闲置输入端如何处理 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86和74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门和与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子练习题

数字电路练习题第一部分门电路 一、填空题 1. 数字集成电路按开关元件不同,可分为TTL集成电路和CMOS集成电路两大类。 2. 数字电路中的三种基本逻辑门电路是与门、或门、非门。 3.三态门是在普通门的基础上增加控制电路构成的,它的三种输出状态是高电平、低电平和高阻态。 4. 与门、与非门的闲置输入端应接高电平;或门、或非门的闲置输 入端应接低电平。 5. 图1所示三态门在1 EN=时,Y的输出状态是高阻态。 6. 利用TTL与非门实现输出线与应采用OC 门,实现总线传输应采用三态门。 7. 图2为几种常见逻辑门电路的逻辑符号,试分别写出其名称和逻辑表达式。 名称逻辑表达式名称 (a)与门(b)非门 (c)与非门(d)或非门 8. 系称为或逻辑关系。 二、选择题 1. 下列几种逻辑门中,能用作反相器的是 C 。 A. 与门 B. 或门 C. 与非门 2. 下列几种逻辑门中,不能将输出端直接并联的是 B 。 A. 三态门 B. 与非门 C. OC门 3. TTL与非门的输入端在以下四种接法中,在逻辑上属于输入高电平的是 C 。 A. 输入端接地 B. 输入端接同类与非门的输出电压0.3V C. 输入端经10kΩ电阻接地 D. 输入端经51Ω电阻接地 4. TTL与非门的输入端在以下4种接法中,在逻辑上属于输入低电平的是 D 。 A. 输入端经10kΩ电阻接地 B. 输入端接同类与非门的输出电压3.6V C. 输入端悬空 D. 输入端经51Ω电阻接地 5. 逻辑电路如图3所示,该电路实现的逻辑关系为 C 。 A. Y AB = B. Y AB = C. Y AB = D. Y A B =+ 6. 图4为TTL逻辑门,其输出Y为 D 。 A. AB C + B. A BC + C. A B C ++ D. AB EN Y A B 图1 填空题5用图& A B Y (a) Y A B Y A (d) (c) 图2 填空题7用图 (b)

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

相关文档
最新文档