数字电路触发器试卷练习题

数字电路触发器试卷练习题
数字电路触发器试卷练习题

2016学年德清职业中专《数字电路》第二次月考试卷第一学期(适用15计网3+2): 姓名得分:班级:

一、填空题:(30分)

1.触发器有两个输出端_______和________,正常工作时两端的状态互补,以_________端的状态表示触发器的状态。

2.按结构形式的不同,RS触发器可分为两大类:一类是没有时钟控制的____________触发器,另一类是具有时钟控制端的__________触发器。

3. 按逻辑功能划分,触发器可以分为________触发器、

___________触发器、__________触发器和________触发器四种类型。

4.钟控触发器也称同步触发器,其状态的变化不仅取决于

___________信号的变化,还取决于___________信号的作用。

5.钟控触发器按结构和触发方式分,有电位触发器、_________触发器、_________触发器和主从触发器四种类型。

6.各种时钟控触发器中不需具备时钟条件的输入信号是________和

_______。

二、选择题:(20分)

1.能够存储 0、1 二进制信息的器件是()

门门 C.触发器 D.译码器

2.触发器是一种()

C.双稳态电路D.BA.单稳态电路 .无稳态电路三稳态电

3.用与非门构成的基本RS触发器处于置 1 状态时,其输入信号

应为()S、R S?10RS?11RS?00R?S01R A. C.

D. B.4.用与非门构成的基本RS触发器,当输入信号 = 0、= 1

SR时,其逻辑功能为( )

A.置1

B.置0

C.保持

D.不定

5.下列触发器中,输入信号直接控制输出状态的是()

A.基本RS触发器 B. 钟控RS触发器

触发器D维持阻塞 D. 触发器JK主从C.

具有直接复位端6.和置位端的触发器,当触发器处于受SR dd

( )脉冲控制的情况下工作时,这两端所加的信号为CPA.

D.RS?S?101101RS?00RS?R C. B. dddddddd RS触发器中,不允许的输

入是(输入信号高电平有效的)7.=00 =01

C.RS=10 =11

8.下列触发器中,具有置0、置1、保持、翻转功能的是( )

A. RS触发器

B. D触发器触发器 D. T触发器

9.时钟触发器产生空翻现象的原因是因为采用了()

A.主从触发方式

B.上升沿触发方式

C.下降沿触发方式

D.点位触发方式

10.当输入J = K = 1时,JK触发器所具有的功能是( )

A.置0

B.置1

C.保持

D.翻转

三、画图题:(40分)

1.如图,设Q初始状态为0,画出Q的波形(5分)

_Q1DCP QC1Q

2.如图,设Q初始状态为0,画出Q的波形(5分)

Q1J_C1CPQ1K Q

3.如图,设Q初始状态为0,画出Q的波形(10分)

A11D B C1CP CP

A

B

Q如SR、CP4.在虚线区域内画出RS主从触发器电路,设其输入信号、分)20的波形图。,试画出为图,触发器初始状态Q0Q

CP S

R Q

四、设计题:(10分)CP如下。S端接电源V+V,R、、V端接地,输入、如图1J1K、SSDDDDDD的波形图。1.试画出1Q 2.若要得到2Q的输出波形,试设计合适逻辑电路,画在虚线区域内。+V DD

V DD1Q

1J1K1CP1Q1CP R S V DSSD2Q

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

《数字电路与系统》期末试卷(A卷)

北京理工大学珠海学院 2008 ~ 2009学年第二学期《数字电路与系统》期末试卷(A ) 一、填空题(每空1分,共20分)【得分: 】 1.数制转换:(3EC)H =( )D =( )O 。 2.逻辑代数的基本运算有 、 、 三种。 3.数字电路按照是否有记忆功能通常可分为 、 两类。 4.能够实现“线与”的TTL 门电路叫 。 5.JK 触发器当时钟到来时,如果J=0,K=0,触发器的状态将 ; 如果J=1,K=1,触发器的状态将 。 6.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。 7.TTL 与非门中,关门电平VOFF 的定义是 。 开门电平VON 的定义是 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出01234567Y Y Y Y Y Y Y Y 应为 。 9.一个10位地址码、8位输出的ROM ,其存储容量为 。 10.数字系统的设计方法有 和 两种。 11.用VHDL 语言描述电路时,基本结构由 和 两部分组成。 二、选择题(每小题2分,共10分)【得分: 】 1.将十进制数13.25转换成二进制数为( )。 A .1011.01 B.1011.1 C.1101.1 D.1101.01 2.用8421BCD 码表示两位十进制数,则至少需要( )0、1码。 A. 5位 B.7位 C.8位 D.6位 3.n 个变量的最小项是( )。 A.n 个变量的积项,它包含全部n 个变量,每个变量可用原变量或非变量。

第2页共6页 B.n 个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量。 C.n 个变量的积项,它包含全部n 个变量,每个变量仅为原变量。 D.n 个变量的和项,它包含全部n 个变量,每个变量仅为非变量。 4.用JK 触发器设计12进制同步计数器,至少需要( )触发器。 A.2个 B.3个 C.4个 D.5个 5.一个4位移位寄存器可以构成最长计数器的长度是( )。 A.8 B.12 C.15 D.16 三、逻辑函数化简(每小题5分,共10分)【得分: 】 1.用代数化简法化简函数EF B EF B A BD C A AB D A AD L ++++++= 2.将下列逻辑函数用卡诺图法化简为最简与或表达式。 F(A,B,C,D)=Σm(0,1,5,7,8,11,14)+Σd(3,9,15) 四、分析与设计题(共20分) 【得分: 】

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

中南大学数字电子技术基础期末考试试卷(四套附答案)

中南大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1. 逻辑函数Y = AB-^C的两种标准形式分别为 ()、()。 2. 将2004个“1 ”异或起来得到的结果是()。 3. 半导体存储器的结构主要包含三个部分,分别是()、()、()。 4. 8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则 输出电压为()v;当输入为10001000,则输出电压为()V。 5. 就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰 能力强,()的转换速度快。 6. 由555定时器构成的三种电路中,()和()是脉冲 的整形电路。 7. 与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用 了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方 便灵活。 二、根据要求作题:(共15分) 1. 将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2. 图1、2中电路均由CMOS门电路构成,写出P、Q的表达式,并画出对应A、B、C的 P、Q波形。

A B C p 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000-111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421 BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图o (15分) 五、已知电路及CP. A的波形如图4(a)(b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电路期末试题及答案(绝密)

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) 1.逻辑函数Y A B C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

北京航空航天大学2011《数字电路与系统》期末考试试卷(A 卷)

北京航空航天大学 2011 ~2012 学年第一学期 《数字电路与系统》期末考试试卷(A卷) 答案及参考评分标准 一、(10分,每小题2分)判断各题正误,正确的在括号内记“√”,错误的在 括号内记“×”。 (1) 对于十进制纯小数,求它的二进制表示可以采用“除2取余”法。………(×) (2) TTL门电路在高电平输入时,其输入电流很小(74系列每个输入端的输入电 流约为40μA)。…………………………………………………………(√) (3) 三态门输出为高阻时,其输出线上的电压为高电平。…………………(×) (4) 单稳态触发器的暂稳态维持时间的长短取决于外界触发脉冲的频率和幅 度。…………………………………………………………………………(×)(5) 当时序逻辑电路存在无效循环时,该电路不能自启动。………………(√) 第 1 页共11 页

三、(15分)如图3-1(1) (2) (3) 化简后的结果Y 2(解: (1) Y (A ,B ,C ,D )=B A ??(2) Y 1(A ,B ,C ,D )=C B ?说明:(3) Y 2(A ,B ,C ,D )= Y 1(A ,说明:

四、(15分)已知电路原理图如图4-1所示,CP1、CP2的波形如图4-2所示, 设触发器的初始状态均为“0”,请在图4-2中画出输出端B和C的波形。 图4-1 图4-2 解: 评分标准:①只要画对任意一次B、C和CP1/CP2的配合关系,即可得7分,其余二次,B和C的波形每对1次,2分;②如果三次配合关系都不对,则B和C 的波形每对1次,2分;③如果画得配合关系无法识别,则能够正确识别上升沿触发,每对1次,1分;④如果画出了异步清零的门传输延迟,则是更加精确的答案,同样可以得分,且可以在出现其它失误的时候可以酌情加1~2分。 第 4 页共11 页

数字电子技术基础期中考试卷

数字电子技术基础期中 考试卷 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

******2014—2015学年下学期 《数字电子技术基础》课程期中考试试卷 考试院系: ******** 考试日期: 2.对于JK 触发器,若K J =,则可完成 触发器的逻辑功能;若K J =,则可完成 触发器的逻辑功能。 3. 逻辑代数又称为布尔代数。最基本的逻辑关系有 、 、 三种。 4.逻辑函数F=A +B+C D 的反函数F = 。 5.逻辑函数F=A B C D +A+B+C+D= 。 6.OC 门称为集电极开路门,多个O C 门输出端并联到一起可实现 功能。 7.七段字符显示器的内部接法有两种形式:共 接法和共 接法。 8.消除竟争冒险的方法有 、 和引入选通脉冲等。 9.逻辑函数有四种常用的表示方法,它们分别是 、 、逻辑函数式和逻辑图 二、选择题(每题1分,共15分) 1.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 2.下列触发器中没有约束条件的是 。 A. 基本RS 触发器 B. 钟控RS 触发器 C. 主从RS 触发器 D. 边沿JK 触发器

3.组合电路设计的结果一般是要得到 。 A. 逻辑电路图 B. 电路的逻辑功能 C. 电路的真值表 D. 逻辑函数式 4. 当逻辑函数有n 个变量时,共有 个变量取值组合 A. n B. 2n C. n 2 D. 2n 5. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.以上三种都是 6.逻辑函数F=)(B A A ⊕⊕ = 。 C.B A ⊕ D. B A ⊕ 7.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 8.对于TT L 与非门闲置输入端的处理,不正确的是 。 A.接电源 B.通过电阻3k Ω接电源 C.接地 D.与有用输入端并联 9.下列表达式中不存在竞争冒险的有 。 =B +A B =A B+B C =AB C +A B =(A +B )A D 10.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 .6 C 11.四选一数据选择器的数据输出Y 与数据输入Xi 和地址码A i 之间的逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 12.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 13.用四选一数据选择器实现函数Y =0101A A A A +,应使 。 =D 2=0,D 1=D 3=1 =D 2=1,D 1=D 3=0 =D 1=0,D 2=D 3=1 =D 1=1,D 2=D 3=0 14.比较两个一位二进制数A 和B ,当A=B 时输出F=1,则F 的表达式是 。 A 、F=A B B 、B A F = C 、B A D 、F=A ⊙B 15.逻辑函数F(A,B,C) = AB+BC+C A 的最小项标准式为 。 A 、F(A,B,C)=∑m(0,2,4) B 、F(A,B,C)=∑m(1,5,6,7) C 、F(A,B,C)=∑m (0,2,3,4) D 、F(A,B,C)=∑m(3,4,6,7)

山东师范大学数字电路期末考试试卷

第1页(共8页) 第2页(共8页) 《数字电路》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数字电路期末复习考试题及答案

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

安徽大学数字电路期末考试试题一汇编

安徽大学数字电路期末考试试题一 一、填空题(40分每空2分) 1.二极管内含PN结,PN结在导电性能上的最大特点是______________________________________. 2.TTL电路和CMOS电路相比较明显的特点是,工作速度上_________________________________________,功耗上_____________________________________________。 3.要表达一个逻辑函数通常有_________________,___________,______________,____________,____________ _______等常见的方法。 4.组合逻辑电路中容易产生竞争冒险,消除竞争冒险的方法有___________________,__________________,____________________。 5.在电容器电路中,电容器两端的电压最大的特点是___________。 6.A/D转换是将模拟信号转换为数字信号,转换过程有__________,______________,_______________,_________________。 7.函数Y=BC+AB的反演式为____________________对偶式是_______________________________________。 8.三极管在适当的便置电压下有放大状态和_____________,______________,___________________等三种状态。 二计算题(10分) 1.将下列各数转换为二进制数 (58)10=()2 , (89)10=()2

数字电路期末模拟试题及答案

一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以 __________________运动 为主,形成正向电流;反向偏置时,少子____________________运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、_____、 _____。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为_ __电平时,输出为高阻态。 4. 十进制数211转换成二进制数是______;十六进制数是___ ____。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容 量的____。 6. 若用触发器组成某十一进制加法计数器,需要____个触发器,有 __个无效状态。 7. 同步RS 触发器的特性方程为Q n+1 =____________;约束方程为____ _____。 8. 下图所示电路中,Y 1 =__________;Y 2 =_______ _____;Y 3 =____________。 1. 扩散; 漂移。 2. 截止区; 饱和区。 3. 高。 4. ()2 ; (D3)16 。 5. 乘积 。 6. 四; 5 。 7. n 1n Q R S Q +=+; RS=0 。 Y V Y

8. B A Y 1= ;B A Y 2⊕= ;AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是_________。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为_____。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等___。 A . [1]2 B. [567]8 C. []BCD D. [1F5]16 4.属于组合逻辑电路的是___________ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来___个计数脉冲CP ,计数器重新 回到初态。 A . M-1 B. M+1 C. M 6.为了把杂乱的、宽度不一的矩形脉冲信号,整形成具有固定脉冲宽度的矩形波信号输出,我们应选用___电路。 A . 施密特触发器 B. 单稳态触发器 C. 多谐震荡器 (1)C (2) D (3) B (4) B (5) C (6) B

相关文档
最新文档