相连存储器的设计与实现

相连存储器的设计与实现
相连存储器的设计与实现

沈阳航空航天大学

课程设计报告

课程设计名称:计算机组成原理课程设计

课程设计题目:相连存储器的设计与实现

院(系):计算机学院

专业:计算机科学与技术

班级:

学号:

姓名:

指导教师:

说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要求;数据不实,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明

本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。

本人签名: 日期:年月日

沈阳航空航天大学

课程设计任务书

课程设计名称XXXX课程设计专业

学生姓名班级学号

题目名称

起止日期年月日起至年月日止课设内容和要求:

参考资料:

教研室审核意见:教研室主任签字:

指导教师(签名)年月日

学生(签名)年月日

课程设计总结:

目录

第一章总体设计方案 ............................................................................................ - 1 -

1.1 设计原理.................................................................................................... - 1 -

1.2 设计思路.................................................................................................... - 2 -

1.3 设计环境.................................................................................................... - 3 - 第二章详细设计方案 ............................................................................................ - 4 -

2.1 总体模块设计............................................................................................ - 4 -

2.2 存储器读模块设计.................................................................................... - 4 -

2.3 存储器写模块设计.................................................................................... - 5 - 第三章设计仿真 .................................................................................................... - 6 -

3.1 存储器默认存储数据................................................................................ - 6 -

3.2 存储器读取默认数据................................................................................ - 6 -

3.3存储器读写入新数据................................................................................. - 8 -

3.4存储器读取写入后数据............................................................................. - 9 - 参考文献 ................................................................................................................ - 12 - 附录 ........................................................................................................................ - 13 -程序代码......................................................................................................... - 13 - Test beach 仿真测试文件.............................................................................. - 16 - 总体电路图 ............................................................................................................ - 19 -

1 总体设计方案

1.1 设计原理

相联存储器(Content Addressed Memory),即可按地址寻址,又可按内容(通常是某些字段)寻址,为与传统存储器区别,又称为按内容寻址的的存储器。相联存储器的每个字由若干字段组成,每个字段描述了一个对象的属性,也称为一个内容。

写入信息时按顺序写入,不需要地址。

读出时,要求中央处理单元给出一个相联关键字,用它和存储器中所有单元中的一部分信息进行比较,若它们相等,则将此单元中余下的信息读出。这是实现存储器并行操作的一种有效途径,特别适合于信息的检索和更新。

相联存储器的结构框图如图1.1所示。它主要实现将输入寄存器的信息与存储体的信息作比较,相匹配的置为“1”,不匹配的置为“0”,并输出结果。

根据相联存储器的原理特点,即按照内容寻址,因此可以将相联存储器分为以下几个部分:输入寄存器,译码选择电路,存储体,检索寄存器。

输入寄存器:用来存放检索字,其位数与相联存储器的字长相等。

译码选择电路:用3-8译码器进行译码电路选择,如当置输入端A2A1A0为“000”,译码器,可以向存储体第一个单元地址输入8位二进制的字信息;同时其他的存储单元的信息被屏蔽掉。

存储体(AMU):用于存放待检索的数据,由高速半导体存储器构成,以求快速存取。

检索寄存器(CR):把检索项和所有存储单元相应位进行比较,如果比较结果相等,输出高电平1,否则输出低电平0。

设存储体由8个字构成,字长为8位的二进制数。CR为检索寄存器,字长也为8位,存放要比较的数。首先向输入总线输入一个8位二进制的字,然后通过3-8译码器选择电路依次将8个8位二进制数输入到存储体中。将输入到输入寄存器的字通过检索寄存器分别与存储体里的8个字检索比较,若匹配,则输出信号置1,否则置0,我们就能找到匹配的那个字。若存储体八个单元存储的数据分别为11111111、11111110、1111101、11111100、11111011、11111010、11111001,11111000,输入寄存器中的存储数据是11111101,通过检索寄存器器CR进行比较之后,可以知道发现检索数据与存储体中的第3个单元的内容一致,所以结果输出为:00000100。

写入数据时,有存储器内置计数器pc指明存储器可写入地址,写入后输出反馈信号,信号与输入信息一致。若当前pc=4,写入00000001,则存储器变为11111111、11111110、1111101、11111100、11111011、00000001、11111001,输出结果为:00000001。

设计软件:Altera公司的综合性PLD/FPGA开发软件Quartus II。

仿真软件:Mentor公司的ModelSim。

2详细设计方案

2.1 总体模块设计

依据相连存储器的工作原理,存储器需要两个输入信号,读写控制信号和数据输入信号;以及一个输出信号,结果输出信号。故存储器模块设计为:module ram(in_data,in_r_w,out_state);

input[7:0] in_data; // 输入数据

input in_r_w; // 读写控制

output[7:0] out_state; // 存储器输出数据

Endmodule

存储器初始化信息如下。

initial

begin

memory[0] = 8'b11111111;

memory[1] = 8'b11111110;

memory[2] = 8'b11111101;

memory[3] = 8'b11111100;

memory[4] = 8'b11111011;

memory[5] = 8'b11111010;

memory[6] = 8'b11111001;

memory[7] = 8'b11111000;

out_state = 8'b00000000;

pc_2 = 4;

end

2.2 存储器读模块设计

依据相连存储器的工作原理,存储器在读写信号置1是读取数据,并且在输入信号到达时,自动检索匹配输入信号与存储体数据,并根据匹配结果输出信号。if(in_r_w == 1'b1)

begin

out_state = 8'b00000000;

for(pc=0; pc<=7; pc=pc+1) // 遍历存储器

begin

case(pc)

3'd0: address = 3'b000;

3'd1: address = 3'b001;

3'd2: address = 3'b010;

3'd3: address = 3'b011;

3'd4: address = 3'b100;

3'd5: address = 3'b101;

3'd6: address = 3'b110;

3'd7: address = 3'b111;

endcase

m_data = memory[address]; // 存储器输出数据

if(m_data == in_data) // 存储器输出数据与输入数据比较

out_state[pc] = 1'b1;

else

out_state[pc] = 1'b0;

end

end

2.3 存储器写模块设计

依据相连存储器的工作原理,存储器在读写信号置0是写入数据,并且在输入信号到达时,将输入信息写入存储器尾部。

if(in_r_w == 1'b0)

begin

if(pc_2 < 7)

pc_2 = pc_2 +1;

else

pc_2 = 0;

// 地址译码

case(pc_2)

3'd0: address = 3'b000;

3'd1: address = 3'b001;

3'd2: address = 3'b010;

3'd3: address = 3'b011;

3'd4: address = 3'b100;

3'd5: address = 3'b101;

3'd6: address = 3'b110;

3'd7: address = 3'b111;

Endcase

// 写入数据

memory[address] = in_data;

// 返回数据

out_state = memory[address];

end

3设计仿真

3.1 存储器默认存储数据

初始时存储器存储数据如表3.1所示。设存储器设存储体由8个字构成,字长为8位的二进制数。

默认存储器数据

地址(3位二进制)

数据(8位二进制)

000 11111111 001

11111110 010 ******** 011 11111100 100 11111011 101 11111010 110 11111001 111

11111000

3.2 存储器读取默认数据

将存储器置为读信号(in_r_w=1),依次按序向输入寄存器输入(in_data 信号)11111111、11111110、11111101、11111100(仿真波形图如图3.1所示),11111011、11111010、11111001、11111000(仿真波形图如图3.2所示),11110011、11110010、11110001、11110000(仿真波形图如图3.2所示)。

表3.1 存储器数据

图 3.1 仿真波形

如仿真波形所示,在存储器置为读信号(in_r_w=1)时,在输入11111111、

11111110、11111101、11111100,11111011、11111010、11111001、11111000后,结果寄存器依次输出(out_state 信号)00000001、00000010、00000100、00001000、0001000、00100000、01000000、10000000。输出显示了这些数据已存储于存储器,相应的,在输入11110011、11110010、11110001、11110000

,结果寄存器均

图 3.2 仿真波形图2

图 3.3 仿真波形图3

输出00000000,表示这些数据不在存储器中。与表3.1中的数据比对,可知此次仿真正确。

3.3存储器读写入新数据

将存储器置为写信号(in_r_w=0),依次按序向输入寄存器输入(in_data 信号)00000001、100000010(仿真波形图如图3.4所示),再此,存储器默认数据追加信号pc=4。

图 3.4 仿真波形

图4

若信息写入成功,则此时存储器数据应为表3.2所示。

默认存储器数据

地址(3位二进制)数据(8位二进制)

000 11111111

001 11111110

010 ********

011 11111100

100 11111011

101 00000001

110 00000010

111 11111000

表3.2 存储器数

3.4存储器读取写入后数据

将存储器置为读信号(in_r_w=1),依次按序向输入寄存器输入(in_data 信号)11111111、11111110、11111101、11111100(仿真波形图如图3.5所示),11111011、11111010、11111001、11111000(仿真波形图如图3.6所示),00000001、00000010、11110011、11110010(仿真波形图如图3.7所示)。11110001、11110000(仿真波形图如图3.8所示)。

图 3.5 仿真波形

图5

图 3.6 仿真波形

图6

如仿真波形所示,在存储器置为读信号(in_r_w=1)时,在输入11111111、11111110、11111101、11111100,11111011、00000001、00000010、11111000后,结果寄存器依次输出(out_state 信号)00000001、00000010、00000100、00001000、0001000、00100000、01000000、10000000。输出显示了这些数据已存储于存储器,相应的,在输入11111010、11111001、11110011、11110010、11110001、11110000,结果寄存器均输出00000000,表示这些数据不在存储器中。与表3.2

中的数据比

图 3.7 仿真波形图7

图 3.8 仿真波形图8

对,可知此次仿真正确。

参考文献

[1] 李景华. 可编程程逻辑器件与EDA技术[M].北京:东北大学出版社,2001

[2] 范延滨.微型计算机系统原理、接口与EDA设计技术[M].北京:北京邮电大学出版社,2006

[3] 王爱英.计算机组成与结构(第4版)[M].北京:清华大学出版社,2006

[4] 王冠.Verilog HDL与数字电路设计[M].北京:机械工业出版社,2005

[5] 白中英.计算机组成原理[M].科学出版社出版社,2008

[6] 郑纬民.计算机系统结构[M].北京:清华大学出版社,1992

[7] 胡越明.计算机组成与设计[M].北京:科学出版社,2006

附录程序代码

module ram(in_data,in_r_w,out_state);

input[7:0] in_data; // 输入数据

input in_r_w; // 读写控制output[7:0] out_state; // 存储器输出数据reg[7:0] memory[7:0]; // 模拟存储器

reg[2:0] address; // 存储器地址

reg[7:0] m_data; // 存储器输出数据reg[7:0] out_state;

integer pc,pc_2;

// 初始化

initial

begin

memory[0] = 8'b11111111;

memory[1] = 8'b11111110;

memory[2] = 8'b11111101;

memory[3] = 8'b11111100;

memory[4] = 8'b11111011;

memory[5] = 8'b11111010;

memory[6] = 8'b11111001;

memory[7] = 8'b11111000;

out_state = 8'b00000000;

pc_2 = 4;

end

always @(in_data)

begin

// 存储器读

if(in_r_w == 1'b1)

begin

out_state = 8'b00000000;

// 遍历存储器

for(pc=0; pc<=7; pc=pc+1)

begin

case(pc)

3'd0:

address = 3'b000;

3'd1:

address = 3'b001;

3'd2:

address = 3'b010;

3'd3:

address = 3'b011;

3'd4:

address = 3'b100;

3'd5:

address = 3'b101;

3'd6:

address = 3'b110;

3'd7:

address = 3'b111;

endcase

m_data = memory[address]; // 存储器输出数据

// 存储器输出数据与输入数据比较

if(m_data == in_data)

out_state[pc] = 1'b1;

else

out_state[pc] = 1'b0;

end

end

// 存储器写

else if(in_r_w == 1'b0)

begin

if(pc_2 < 7)

pc_2 = pc_2 +1;

else

pc_2 = 0;

case(pc_2)

3'd0:

address = 3'b000;

3'd1:

address = 3'b001;

3'd2:

address = 3'b010;

3'd3:

address = 3'b011;

3'd4:

address = 3'b100;

3'd5:

address = 3'b101;

3'd6:

address = 3'b110;

3'd7:

address = 3'b111;

endcase

// 写入数据

memory[address] = in_data;

// 返回数据

out_state = memory[address];

end

end

endmodule

相联存储器的设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计课程设计题目:相联存储器的设计 院(系):计算机学院 专业:计算机科学与技术 班级: 学号: 姓名:木代佳人,日月同辉 指导教师:杨华 完成日期:2010年1月15日

目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (3) 1.3设计环境 (4) 第2章详细设计方案 (5) 2.1顶层方案图的设计与实现 (5) 2.1.1创建顶层图形设计文件 (5) 2.1.2器件的选择与引脚锁定 (5) 2.1.3编译、综合、适配 (6) 2.2功能模块的设计与实现 (6) 2.2.1 输入寄存器的实现 (7) 2.2.2存储体的设计与实现 (8) 2.2.3 比较寄存器的实现 (10) 2.2.4查找结果寄存器的实现 (12) 2.3仿真调试 (14) 第3章编程下载与硬件测试 (15) 3.1编程下载 (15) 3.2硬件测试及结果分析 (15) 参考文献 (17) 附录(电路原理图) (18)

第1章 总体设计方案 1.1 设计原理 相联存储器(Content Addressed Memory),它是一种按内容访问的存储器,可以根据数据记录地一部分内容查找其它部分的内容。在相联存储器中,每个存储的数据记录都是固定长度的字。存储字中的每个个位或者字段都可以作为检索的依据(关键字)。 相联存储器的结构框图如图1.1所示。它主要实现将输入寄存器的信息与存储体的信息作比较,相匹配的置为“1”,不匹配的置为“0”, 将结果送入查找结果寄存器(SRR)中,并输出结果。 1.2 设计思路 根据相联存储器的原理特点,即按照内容寻址,因此可以将相联存储器分为输入寄存器 图1.1 相联存储器原理框图

武汉理工大学微机原理课程设计之存储器扩展分析与设计

课程设计 题目存储器扩展分析与设计学院自动化学院 专业自动化专业 班级 姓名 指导教师向馗副教授 2013 年 1 月10 日

课程设计任务书 学生姓名:专业班级: 指导教师:向馗副教授工作单位:自动化学院 题目: 存储器扩展分析与设计 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1. 画出简要的硬件原理图,编写程序。 2.完成以下任务: (1).设计一个EEPROM扩展电路,由两片2864扩展为16KB容量, 并编程信息检索程序。 (2). 编程内容:在扩展的ROM中存入有9个不同的信息,编号0到8,每个信息包括40个字字符。从键盘接收0到8之间的一个编号,然后在屏幕上显示出相应的编号的信息内容,按“q”键退出。 3. 撰写课程设计说明书。内容包括:摘要、目录、正文、参考文献、附录(程序清单)。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软件思想,流程,源程序设计及说明等)、程序调试说明和结果分析、课程设计收获及心得体会。 时间安排: 2012年12月30 日----- 12月31日查阅资料及方案设计 2013年01月01日----- 01月05日编程 2013年01月06日----- 01月08日调试程序 2013年01月09日----- 01月10日撰写课程设计报告 指导教师签名:2013年1月11日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1设计意义及任务 (2) 1.1设计意义 (2) 1.2设计任务 (2) 2 EEPROM扩展电路设计 (3) 2.1方案设计 (3) 2.2芯片选择 (3) 2.3连线说明 (4) 2.4硬件电路图 (5) 3程序设计 (6) 3.1设计思路 (6) 3.2程序框图 (6) 3.2.1主程序流程图 (6) 3.2.2输入程序流程图 (6) 3.2.3输出程序流程图 (6) 3.3设计程序一 (8) 3.4设计程序二 (10) 3.5调试过程 (12) 3.5.1调试过程 (12) 3.5.2结果记录 (12) 3.5.3调试过程中遇到的问题 (13) 结束语........................................................................................................... 错误!未定义书签。参考文献 (15) 附录一 (16) 附录二 (19)

主存储器部件的组成与设计.

主存储器部件的组成与设计 主存储器部件的组成与设计 类别:存储器 主存储器概述(1)主存储器的两个重要技术指标◎读写速度:常常用存储周期来度量,存储周期是连续启动两次独立的存储器操作(如读操作)所必需的时间间隔。◎存储容量:通常用构成存储器的字节数或字数来计量。(2)主存储器与CPU及外围设备的连接是通过地址总线、数据总线、控制总线进行连接,见下图主存储器与CPU的连接◎地址总线用于选择主存储器的一个存储单元,若地址总线的位数k,则最大可寻址空间为2k。如k=20,可访问1MB的存储单元。 ◎数据总线用于在计算机各功能部件之间传送数据。◎控制总线用于指明总线的工作周期和本次输入/输出完成的时刻。(3)主存储器分类 ◎按信息保存的长短分:ROM与RAM◎按生产工艺分:静态存储器与动态存储器静态存储器(SRAM):读写速度快,生产成本高,多用于容量较小的高速缓冲存储器。动态存储器(DRAM):读写速度较慢,集成度高,生产成本低,多用于容量较大的主存储器。静态存储器与动态存储器主要性能比较如下表:静态和动态存储器芯片特性比较SRAMDRAM存储信息触发器电容破坏性读出非是 需要刷新不要需要送行列地址同时送分两次送运行速度 快慢集成度低高发热量大小存储成本高低 动态存储器的定期刷新:在不进行读写操作时,DRAM存储器的各单元处于断电状态,由于漏电的存在,保存在电容CS上的电荷会慢慢地漏掉,为此必须定时予以补充,称为刷新操作。2、动态存储器的记忆原理和读写过程(1)动态存储器的组成:由单个MOS管来存储一位二进制信息。信息存储在MOS管的源极的寄生电容CS中。◎写数据时:字线为高电平,T导通。写“1”时,位线(数据线)为低电平,VDD(电源)将向电容充电写“0时,位线(数据线)为高电平,若电容存储了电荷,则将会使电容完成放电,就表示存储了“0”。◎读数据时:先使位线(数据线)变为高电平,当字线高电平到来时T导通,若电容原存储有电荷(是“1”),则电容就要放电,就会使数据线电位由高变低;若电容没有存储电荷(是“0”),则数据线电位不会变化。检测数据线上电位的变化就可以区分读出的数据是1还是0。注意①读操作使电容原存储的电荷丢失,因此是破坏性读出。为保持原记忆内容,必须在读操作后立刻跟随一次写入操作,称为预充电延迟。②向动态存储器的存储单元提供地址,是先送行地址再送列地址。原因就是对动态存储器必须定时刷新(如2ms),刷新不是按字处理,而是每次刷新一行,即为连接在同一行上所有存储单元的电容补充一次能量。③在动态存储器的位线上读出信号很小,必须接读出放大器,通常用触发器线路实现。④存储器芯片内部的行地址和列地址锁存器分先后接受行、列地址。⑤RAS、CAS、WE、Din、

第3章习题--存储系统

第3章存储系统 一.判断题 1.计算机的主存是由RAM和ROM两种半导体存储器组成的。 2.CPU可以直接访问主存,而不能直接访问辅存。 3.外(辅)存比主存的存储容量大、存取速度快。 4.动态RAM和静态RAM都是易失性半导体存储器。 5.Cache的功能全部由硬件实现。 6.引入虚拟存储器的目的是为了加快辅存的存取速度。 7.多体交叉存储器主要是为了解决扩充容量的问题。 8.Cache和虚拟存储器的存储管理策略都利用了程序的局部性原理。 9.多级存储体系由Cache、主存和辅存构成。 10.在虚拟存储器中,当程序正在执行时,由编译器完成地址映射。 二.选择题 1.主(内)存用来存放。 A.程序 B.数据 C.微程序 D.程序和数据 2.下列存储器中,速度最慢的是。 A.半导体存储器 B.光盘存储器 C.磁带存储器 D.硬盘存储器 3.某一SRAM芯片,容量为16K×1位,则其地址线有。 A.14根 B.16K根 C.16根 D.32根 4.下列部件(设备)中,存取速度最快的是。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 5.在主存和CPU之间增加Cache的目的是。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 6.计算机的存储器采用分级存储体系的目的是。 A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格与存取速度间的矛盾 7.相联存储器是按进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定与堆栈存取方式结合 8.某SRAM芯片,其容量为1K×8位,加上电源端和接地端后,该芯片的引出线的最少数目应为。 A.23 B.25 C.50 D.20 9.常用的虚拟存储器由两级存储器组成,其中辅存是大容量的磁表面存储器。 A.主存—辅存 B.快存—主存 C.快存—辅存 D.通用寄存器—主存 10.在Cache的地址映射中,若主存中的任意一块均可映射到Cache内的任意一快的位置上,则这种方法称为。 A.全相联映射 B.直接映射 C.组相联映射 D.混合映射 三.填空题

第3章 单片机并行存储器扩展练习题

第3章单片机并行存储器扩展 (一)填空题 1.使用8KB×8位的RAM芯片,用译码法扩展64KB×8位的外部数据存储器,需要(8) 片存储芯片,共需使用(16 )条地址线,其中(13 )条用于存储单元选择,(3)条用于芯片选择。 2.三态缓冲器的三态分别是(低电平)、(高电平)和(高阻抗)。 3.80C51单片机系统整个存储空间由4部分组成,分别为(256 )个地址单元的内部(数 据)存储器,(4kb )个地址单元的内部(程序)存储器,(64kb)个地址单元的外部(数据)存储器,(60kb )个地址单元的外部(程序)存储器。 4.在80C51单片机系统中,为外扩展存储器准备了(16)条地址线,其中低位地址线由 (p0口)提供,高位地址线由(P2口)提供。 5.在80C51单片机系统中,存储器并行外扩展涉及的控制信号有(ALE)、(WR)、 (RD)、(PSEN)和(CE),其中用于分离低8位地址和数据的控制信号是(ALE),它的频率是晶振频率的(6)分之一。 6.起止地址为0000H ~ 3FFFH的外扩展存储器芯片的容量是(16KB)。若外扩展存 储器芯片的容量为2KB,起始地址为3000H,则终止地址应为(37FFH)。 7.与微型机相比,单片机必须具有足够容量的程序存储器是因为它没有(外存)。 8.在存储器扩展中,无论是线选法还是译码法,最终都是为扩展芯片的(片选)引脚端 提供信号。 9.由一片80C51和一片2716组成的单片机最小系统。若2716片选信号CE接地,则该存 储芯片连接共需(11)条地址线。除数据线外,系统中连接的信号线只有(PSEN)和(ALE)。 (二)单项选择题 1. 下列有关单片机程序存储器的论述中,错误的是(D) (A)用户程序保存在程序存储器中 (B)断电后程序存储器仍能保存程序 (C)对于程序存储器只使用MOVC一种指令 (D)执行程序需要使用MOVC指令从程序存储器中逐条读出指令 2. 下列有关单片机数据存储器的论述中,错误的是(A)

交叉存储器设计

计算机组成原理课程设计 多体交叉存储器 一、设计目的 (1)深入了解提高计算机系统效率的一种有效方式——并行性; (2)研究交叉存储器的设计原理和实现方式,采用并行性的设计思想,设计一个简易的采用低位交叉编址的并行结构存储器; (3)复习和回顾译码电路设计、地址、数据和控制电路设计的相关知识;展开研究性教学,拓展大家知识面,提高分析问题解决问题的能力; (4)培养大家独立思考和创新研究的能力,积极营造自主创新的良好氛围; 二、设计内容 本次研究性设计要求为:设计一个容量为64KB 的采用低位交叉编址的8体并行结构存储器。画出CPU 和存储芯片(芯片容量自定)的连接图,并写出图中每个存储芯片的地址范围(用 十六进制数表示)。 三、设计要求 (1)参考教材中关于交叉存储器的原理,给出系统设计方案,包括译码芯片的选择、各个芯片的工作时序设计; (2)注意片选信号的产生电路设计、地址锁存电路设计、数据信号线的电路设计、控制信号线的设计、交叉存储的实现; (3)要了解交叉存储器并行工作原理、各个存储器提的启动信号和地址、数据、片选信号的关系、如何实现1/8存储器周期就能够读取一次数据。 四、设计方案 (1)总线和控制信号确定 设CPU 共有16根地址线,8根数据线,并用IO /M 作为访问存储器或I/O 的控制信号(高电平为访存,低电平为访I/O ),WR (低电平有效)为写命令,RD (低电平有效)为读命令。

要求:设计一个容量为64KB 的采用低位交叉编址的8体并行结构存储器。画出CPU 和存储芯片(芯片容量自定)的连接图,并写出图中每个存储芯片的地址范围(用十六进制数表示)。 所需存储器芯片和138 Ai A0 … CE … WE Dn D0 RAM 存储器芯片 74LS138译码器 (2)设计分析 要设计一个容量为64KB 、采用低位交叉编址的8体并行结构存储器,则每个存储体容量应为64KB/8 = 8KB ,所以,应选择8KB (213B )的RAM 芯片,需要芯片8块、地址线13根(A12-A0)、数据线8根(D7-D0),其中在片选信号的产生时需要用到74LS138译码器。 (3)设计实现 ① 8片8K ×8RAM 芯片对应的二进制编码 第0片:0000、0008、0010、…、FFF8H ,即: A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 … 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 第1片:0001、0009、0011、…、FFF9H ,即: A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 … 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 第2片:0002、000A 、0012、…、FFFAH ,即: A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 … RAM G1 /Y7 /G2A /Y6 /G2B /Y5 /Y4 /Y3 C /Y2 B /Y1 A /Y0

计算机组成原理相联存储器的设计

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (3) 1.3设计环境 (4) 第2章详细设计方案 (5) 2.1顶层方案图的设计与实现 (5) 2.1.1创建顶层图形设计文件 (5) 2.1.2器件的选择与引脚锁定 (5) 2.1.3编译、综合、适配 (6) 2.2功能模块的设计与实现 (7) 2.2.1 输入寄存器的实现 (7) 2.2.2存储体的设计与实现 (8) 2.2.3 数字比较器的实现 (10) 2.2.4查找结果寄存器的实现 (12) 2.3仿真调试 (13) 第3章编程下载与硬件测试 (15) 3.1编程下载 (15) 3.2硬件测试及结果分析 (15) 参考文献 (17) 附录(电路原理图) (18)

第1章 总体设计方案 1.1 设计原理 相联存储器(C ontent Addressed Memory ),它是一种按内容访问的存储器,可以根据数据记录地一部分内容查找其它部分的内容。在相联存储器中,每个存储的数据记录都是固定长度的字,每个字由若干字段组成,每个字段描述了用一个对象的属性,也称一个内容。 相联存储器的结构框图如图1.1所示。 它主要实现将输入寄存器(CR)的信息与存储体的信息作比较,相匹配的置为“1”,不匹配的置为“0”, 将结果送入查找结果寄存器(SRR)中,并输出结果。 图1.1 相联存储器原理框图

1.2 设计思路 根据相联存储器的原理特点,即按照内容寻址,因此可以将相联存储器分为以下几个部分:输入寄存器,译码选择电路,存储体,数字比较器,查找结果寄存器。 输入寄存器(CR):用来存放检索字,其位数和相联存储器的字长相等。 译码选择电路:用3-8译码器进行译码电路选择,如当置输入端B2B1B0为“000”,时钟脉冲信号为高电位时,可以向存储体第一个单元地址输入八位二进制的字信息,同时其他的存储单元的信息被屏蔽掉。当置输入端B2B1B0为“001”时,时钟信号为高电位时,可以向存储体第二个单元地址输入八位二进制的字信息,同时其他的存储信号单元被屏蔽掉。 存储体(AMU):用于存放待检索的数据,由八个八位二进制存器构成,以便快速存取。 数字比较器:将检索的内容和从存储体中读出的所有单元内容的相应位进行比较,如果有某个存储单元的信息和检索项一致,就把符合寄存器的相应位置“1”,表示该字匹配;否则置“0”,表示不匹配。 查找结果寄存器(SRR):用来存放待检索项与存储体的信息中相符合的单元的寄存器地址,其位数等于相联存储器的存储单元总数,每一位对应一个存储单 元,位的序列数即为相联存储器的单元地址。 设存储体由8个字构成,字长为8位的二进制数。CR为比较寄存器,字长也为8位,存放要比较的两个数。首先向输入寄存器输入一个八位二进制的字,然后通过3—8译码器选择电路依次将八个八位二进制数输入到存储体中。将输入到输入寄存器的字通过比较寄存器分别与存储体里的八个字检索比较,若匹配,则输出信号置1,否则置0。匹配信号通过查找结果寄存器(SRR)输出,我们就能找到匹配的那个字。 若存储体八个单元存储的数据分别为0000000、00000001、00000010、00000011、00000100、00000101、00000110, 00000111,输入寄存器中的存储数据是00000011,通过比较器CR进行比较之后,可以知道发现检索数据与存储体中的第四个单元的内容一致,所以结果查找寄存器SRR中的第四个单元置为“1”,

实验五_存储器设计

计算机组成原理 实验五《存储器设计》 实验报告 姓名:吴速碘黄紫微 学号:13052053 13052067 班级:计算机二班 日期2015、5、25

实验五存储器设计 一、实验目的 1、掌握RAM和ROM的Verilog语言描述方法; 2、学习用宏模块的方法定制RAM和ROM。 二、实验任务 1、设计并实现一个128*16 的单端口的RAM; 2、设计并实现一个128*16的ROM; 3、设计并实现一个双端口的128*16的RAM 4、设计并实现一个16*32的FIFO。 5、设计并实现正弦信号发生器,见“正弦信号发生器实验指南”。 三、实验步骤 1 编写Verilog代码(见附页) 2功能仿真 进行分析与综合,排除语法上的错误 建立波形仿真文件,输入激励 生成功能仿真网表 进行功能仿真,观察输出结果 3选择器件 DE2_70开发板的使用者请选择EP2C70F896C6 4绑定管脚 5 下载验证 DE2_70开发板的下载:使用USB-Blaster进行下载 四、实验内容 五、实验思考题 1、分析存储器采用三态输出的原因是什么? 存储器的输出端是连接在数据总线上的。数据总线相当于一条车流频繁的大马路,必须在绿灯条件下,车辆才能进入这条大马路,否则要撞车发生交通事故。同 理,存储器中的数据是不能随意传送到数据总线上的。例如,若数据总线上的数 据是“1”(高电平5V),存储器中的数据是“0”(低电平0V),两种数据若碰到一 起就会发生短路而损坏单片机。因此,存储器输出端口不仅能呈现“l”和“0”两 种状态,还应具有第三种状态“高阻"态。呈“高阻"态时,输出端口相当于断开,对数据总线不起作用,此时数据总线可被其他器件占用。当其他器件呈“高阻”态 时,存储器在片选允许和输出允许的条件下,才能将自己的数据输出到数据总线 上。 2、单端口和双端口的区别是什么? 单端口ram是ram的读写只有一个端口,同时只能读或者只能写。 双端口ram是ram读端口和写端口分开,一个端口能读,另一个端口可以同时写。 3、什么情况下考虑采用双端口存储器?

毕业设计83吉林建筑工程学院存储器扩展系统设计

《微机原理及其应用》课程设计论文格式 共包括以下两个部分: (一)论文部分 一、封面 具体格式见下面样例。 二、正文 论文的主体部分,针对所做的设计题目进行相应的论述。具体格式见下面样例。 三、总结 对完成的课程设计的总结和体会,字数要求在300~500字之间。 四、参考文献 在设计过程中,查阅的资的列表,要求3篇以上。 (二)图纸部分 图纸要求: 1、以标准的A3白图纸打印,尺寸:420×297(mm) 2、图纸布局如下图所示: 3、右下图标尺寸及欺项目如下:

微机原理 课 程 设 计 论 文 姓名:翁元炉 班级:信工042 学号:32 指导教师:陈伟利 日期:

目录 一、课程设计题目及要求 (1) 1、题目 (1) 2、课程设计要求 (1) 二、课程设计目的 (1) 三、8086芯片及相关外围器件选用介绍 (1) 1、8086芯片 (1) 2、2864芯片(EEPROM) (8) 3、6264芯片(静态RAM) (9) 4、键盘/显示器接口芯片8279 (11) 5、译码器74LS138 (13) 6、地址锁存器74LS373 (14) 7、LED数码管显示 (15) 8、键盘接口设计 (15) 四、系统软件设计……………………………………………… 五、总结………………………………………………………… 六、参考文献…………………………………………………… (另附总电路图一张)

一、课程设计题目及要求 1、题目:存储器扩展系统设计 2、课程设计要求 1)可以用键盘向存储器内写入和读出数据,并用LED数据管显示。 2)数据输入可用10进制或16进制(可选)。 3)地址采用16进制显示。 采用1片6164(RAM)和1片2864(EEROM)对8086进行外围存储器扩展,使学生进一步理解扩展存储器的硬件连接方法和级联硬件连接方法。同时,本设计还使用8279键盘/显示接口芯片为8086扩展了16个键盘和6位7段数码管显示块。方便在程序调试时,对程序进行测试。通过本设计使设计同学了解8086的外围硬件设计的全过程,加深学生对8086及相关的外围器件认识和理解。为将来走向工作出岗位打下坚实的基础。 二、课程设计目的: 1.通过《微型计算机原理及应用》课程设计,使学生能够进一步了解微型计算机工作原理, 微型计算机的硬件结构及微型计算机软件编程。 2.要求学生根据接口电路的硬件要求进行计算机的汇编语言程序设计,使学生的软件编程能力得到加强,对接口电路的综合应用能力有较大提高。 三、8086芯片及相关外围器件选用介绍 1、8086芯片 8086是一个40管脚的器件,外部采用40芯双列直插式封装。图一是8086的引脚图,括号内为最大模式下引脚的定义。为了便于组成不同规模的系统,Intel公司为8086设计了两种工作模式。在不同的工作模式下,管脚的定义不

存储器设计:存储器设计课程设计

计算机组成原理实验 实验五存储器设计 专业班级计算机科学与技术 学号0936008 姓名冯帆 学号0936036 姓名张琪 实验地点理工楼901 实验五存储器设计 一、实验目的 1、掌握RAM 和ROM 的Verilog 语言描述方法; 2、学习用宏模块的方法定制RAM 和ROM 。 二、实验内容

1、设计并实现一个8*8 的单端口的RAM ; 2、设计并实现一个128*16的ROM ; 3、设计并实现一个双端口的128*16的RAM 。 4、设计并实现正弦信号发生器,参考“正弦信号发生器实验指南”。 三、实验仪器及设备 PC 机+ Quartus Ⅱ0 + DE2-70 四、实验步骤 打开Quartus 软件,新建工程。 2.分析单端口,双端口,ROM,RAM 的含义。 3.Verilog 程序如下,并简单注释。 ①

module SingleRamTest(read_data, read_address, write_data, write_address, memwrite, clock, reset); output [7:0] read_data; //数据的输出 input [2:0] read_address; //读数据地址的输入 input [7:0] write_data; //写数据地址的输入 input [2:0] write_address; //写数据地址的输入 input memwrite; //若该信号为1,进行写操作,反之,写操作input clock; input reset; //复位和时钟信号 reg [7:0] read_data, mem0, mem1,mem2,mem3,mem4,mem5,mem6,mem7; //设置存储器存储单元 always @(read_address or mem0 or mem1 or mem2 or mem3 or mem4 or mem5 or mem6 or mem7) //若上述信号有一个发生变化,则启动该模块begin

存储器扩展电路设计

存储器扩展电路设计 (1)程序存储器的扩展 单片机应用系统中扩展用的程序存储器芯片大多采用EPROM芯片。其型号有: 2716,2732,2764,27128,27258,其容量分别为2k,4k,8k,16k32k。在选择芯片时要考虑CPU与EPROM时序的匹配。8031所能读取的时间必须大于EPROM所要求的读取时间。此外,还需要考虑最大读出速度,工作温度以及存储器容量等因素。在满足容量要求时,尽量选择大容量芯片,以减少芯片数量以简化系统。综合以上因素,选择2764芯片作为本次设计的程序存储器扩展用芯片。 单片机规定P0口提供8为位地址线,同时又作为数据线使用,所以为分时用作低位地址和数据的通道口,为了把地址信息分离出来保存,以便为外接存储器提高低8位的地址信息,一般采用74LS373芯片作为地址锁存器,并由CPU发出允许锁存信号ALE的下降沿,将地址信息锁存入地址锁存器中。 由以上分析,采用2764EPROM 芯片的程序存储器扩展电路框图如下所示: 扩展2764电路框图 (2)数据存储器的扩展 由于8031内部RAM只有128字节,远不能满足系统的要求。需要扩

展片外的数据存储器。单片机应用系统数据存储器扩展电路一般采用6116,6262静态RAM数据存储器。本次设计选用6264芯片作为数据存储器扩展用芯片。其扩展电路如下所示: OE 扩展6264电路框图 (3)译码电路 在单片机应用系统中,所有外围芯片都通过总线与单片机相连。单片机数据总线分时的与各个外围芯片进行数据传送。故要进行片选控制。由于外围芯片与数据存储器采用统一编址,因此单片机的硬件设计中,数据存储器与外围芯片的地址译码较为复杂。可采用线选法和全地址译码法。线选法是把单独的地址线接到外围芯片的片选端上,只要该地址线为低电平,就选中该芯片。线选法的硬件结构简单,但它所用片选线都是高位地址线,它们的权值较大,地址空间没有充分利用,芯片之间的地址不连续。对于RAM和I/O容量较大的应用系统,当芯片所需的片选信号多于可利用的地址线的时候,多采用全地址译码法。它将低位地址作为片内地址,而用译码器对高位地址线进行译码,译码器输出的地址选择线用作片选线。 本设计采用全地址译码法的电路分别如下图所示: (4)存储器扩展电路设计 8031单片机所支持的存储系统起程序存储器和数据存储器为独立编址。 该设计选用程序存储器2764和数据存储器6264组成8031单片机的外存储器扩展电路, 单片机外存储器扩展电路如下: (5)I/O扩展电路设计 (a).通用可编程接口芯片8155

杭电计算机组成原理存储器设计实验5

杭州电子科技大学计算机学院 课程名称 实验项目 指导教师 实验位置 计算机组成原理 存储器设计实验 实验报告 ______ 姓 ______ 班 名 级 号 期 2015年5月15日

本实验的结果正确,根据自己写的coe 文件中存储的数据进行操作,和实验四 有很多的相似 处,只是进行简单的读写的操作 ,实验的结果正确?能够根据操 作,lED 灯显示具体的数据? 配置管脚: NET "C[0]" LOC = T10; NET "C[1]" LOC = T9; NET "Clk" LOC = C9; ( 接 上) 实验 内容 ( 算 法、 程 序、 步骤 NET "LED[0]" LOC = :U16; NET "LED[1]" LOC = :V16; NET "LED[2]" LOC = :U15; NET "LED[3]" LOC = :V15; NET "LED[4]" LOC = :M11; NET "LED[5]" LOC = :N11; NET "LED[6]" LOC = :R11; NET "LED[7]" LOC = :T11; NET "Mem_Addr[2]" LOC = :V9; NET "Mem_Addr[3]" LOC = :M8; NET "Mem_Addr[4]" LOC = :N8; NET "Mem_Addr[5]" LOC = :U8; NET "Mem_Addr[6]" LOC = :V8; NET "Mem_Addr[7]" LOC = :T5; NET "Mem_Write" L( OC = B8; 实验仿真结果 数据 记录 和计 Objqcti f

2网络工程师试题—存储器.doc

2网络工程师试题—存储器

3.3 存储器 1、存储器系统特征 ●相联存储器的访问方式是__(57)__。[2002年软件设计师] (57)A.先入先出访问B.按地址访问C.按内容访问 D.先入后出访问 正确答案:C 2、主存储器基础 ●内存按字节编址,地址从A4000H到CBFFFH,共有__(1)__字节。若用存储容量为32K×8bit 的存储芯片构成该内存,至少需要__(2)__片。[2004年11月软件设计师、网络工程师] (1)A.80K B.96K C.160K D.192k (2)A.2 B.5 C.8 D.10 正确答案:C、B ●试题10 [2000高级程序员考试] 从供选择的答案中,选出应填入下面叙述中

存地址④数据地址 正确答案:3、1、4、3、2 ●试题9 [1999年高级程序员考试] 从供选择的答案中,选出应填入下面叙述中的_?_内的最确切的解答,把相应编号写在答卷的对应栏内。 用作存储器的芯片有不同的类型。 可随机读写,且只要不断电则其中存储的信息就可一直保存的,称为_A _。 可随机读写,但即使在不断电的情况下其存储的信息出要定时刷新才不致丢失的,称为_B_。 所存信息由生产厂家用掩膜技术写好后就无法再改变的称为_C_。 通过紫外线照射后可擦除所有信息,然后 重新写入新的信息并可多次进行的,称为_D_。 通过电信号可在数秒钟内快速删除全部信息,但不能进行字节级别删除操作的,称为_E_ 。供选择的答案:

A、B:①RAM ②VRAM ③DRAM ④SRAM C、D:①EPROM ②PROM ③ROM ④CDROM E:①E2PROM ②Flash Memory ③EPROM ④Virtual Memory 正确答案:4、3、3、1、2 3、Cache ●容量为64块的Cache采用组相联方式映像,字块大小为128个字,每4块为一组。若主存容量为4096块,且以字编址,那么主存地址应该为__(7)__位,主存区号为__(8)__位。[2004年11月网络工程师、软件设计师考试] (7)A.16 B.17 C.18 D.19 (8)A.5 B.6 C.7 D.8 正确答案:D、B ●使Cache命中率最高的替换算法是 __(49)__。 [2003年系统设计师] (49)A.先进先出算法B.随机算法RAND

存储器功能部件的设计与实现

存储器功能部件的设计与实现

一、实验目的 1、学习QuartusII软件的基本操作 2、理解存储器的基本原理和过程 3、设计出存储器功能部件并对设计的正确性进行验证 二、实验内容 1、设计出功能完善的存储器功能部件,并对设计的正确性进行验证。具体要求如 下: (1)用图形方式设计出存储器功能部件的电路原理图 (2)测试波形要用时序仿真实现,验证存储器的读、写操作 (3)写、读操作至少要访问到4个不连续的存储单元,即先向4个以上不连续的存储单元中写入不同的数据,再依次读出 (4)将设计文件封装成器件符号 (5)存储器的数据宽度最好为16位 2、存储器扩展实验,具体如下: (1)用图形方式设计出存储器功能部件的电路原理图 (2)用数据宽度为4或8,地址宽度为6的存储器,扩展成数据宽度为16,地址宽度为8的存储器 (3)测试波形要用时序仿真实现,验证存储器的写、读操作,要访问到所有的存储器器件,即如果存储器的扩展设计用了8个存储器器件,就要用至少8个不同的数据,访问8个不同地址的存储单元,而这8个不同地址的存储单元,分别位于8个存储器器件中 (4)将设计文件封装成器件符号 三、实验装置 安装有QuartusII软件的PC机1台。 四、实验原理 (1)存储器功能部件设计 利用参数化宏功能模块LPM_RAM_DQ设计16位存储器相对简单,只需要在存储模块定义LPM_RAM_DQ的参数数据位数LMP_WIDTH为16位、地址位数LMP_WIDTHAD为8即可定义成相应容量的存储器。

在该设计中需要说明的是,为了方便将设计的存储器模块用到总线系统中,所设计的模块要具有数据暂存和三态输出的功能,因此在存储器数据输入端需要添加数据暂存功能,在存储器数据输出端需要添加三态输出功能,即还要用到74213、74244芯片作为存储器的输入和输出。 (2)存储器扩展实验 存储器扩展实验要求在利用参数化宏功能模块LPM_RAM_DQ 设计存储器时数据位数LMP_WIDTH 设置为4或8、地址位数LMP_WIDTHAD 为6,再利用这样设计的存储器模块进行字扩展(地址宽度扩展)和位扩展(数据宽度扩展),最终实现数据宽度为16、地址宽度为8的存储器。 五、设计 六、实验结果 数据寄存 器74273*2 地址寄存器74273 存储器 LPM_RAM_DQ 三态门 74244*2 R W WR

计算机组成原理期末试题及答案

1.交叉存储器实质上是一种_模块式_存储器,它能_并行_执行_多个_独立的读写操作,(流水)方式执行多个独立的读写操作。 2.32位浮点数格式中,符号位为1位,阶以码为8位,尾数为23位。则它所能表示的最大规格表示范围规格化近零数非规格化近零数 3.IEEE754标准规定的64位浮点数格式中,一个浮点数由符号位S 1位、阶码E 11位、尾数M 52位三个域组成。其中阶码E的值等于指数的真值e加上一个固定偏移值+127。则它能表示的最大规格化正数为 4.一组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共20位,其中主存字块标记应为9位,组地址应为5位,Cache地址共13位。 5.CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。 3.十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。 4.一个较完善的指令系统,应当有数据处理、数据存储、数据传送、程序控制四大类指令。 5.机器指令对四种类型的数据进行操作。这四种数据类型包括地址数值字符逻辑型数据。 6.CPU中保存当前正在执行的指令的寄存器是(指令寄存器,指示下一条指令地址的寄存器是(程序寄存器,保存算术逻辑运算结果的寄存器是(数据缓冲寄冲器和(状态寄存器)。 12.挂接在总线上的多个部件(只能分时向总线发送数据,但可同时从总线接收数据;)。 13.在冯诺依曼体制中,计算机硬件系统是由输入设备、输出设备、控制器、存储器和运算器等五大部件组成。 14.补码加减所依据的基本关系是(X+Y)补=X补+Y补和(X-Y)补=X补+(-Y)补。 15.按照微命令的形成方式,可将控制器分为组合逻辑控制器和微程序控制器两种基本类型。 16.CPU对信息传送的控制方式主要分为直接程序传送方式、程序中断传送方式、DMA传送方式等3种。 18.半导体存储器分为静态存储器和动态存储器两种,前者依靠双稳触发器的两个稳定状态保存信息,后者依靠电容上的存储电荷暂存信息。 Cache和主存地址的映射方式有直接映射、全相连映射、组相连三种。 19.Cache常用的替换算法大致有最不经常使用LFU算法、近期最少使用LRU、随即替换。 20.动态存储器有三种典型的刷新方式,即集中刷新方式、分散刷新方式、异步刷新方式。 21.信息只用一条传输线,且采用脉冲传输的方式称为_串行传输_。 22.在指令的地址字段中,直接指出操作数本身的寻址方式,称为_立即寻址_。 23.CPU响应中断的时间是_一条指令结束_。中断向量地址是:中断服务例行程序入口地址的指示器 24.PCI总线的基本传输机制是_猝发式传输__。 25.中断向量地址是__中断服务子程序入口地址_。 26.系统总线按传输信息的不同分为地址总线、数据、地址控制三大类。 27.完整的指令周期包括取指、间址、执行、中断四个子周期,影响指令流水线性能的三种相关分别是结构、数据、控制相关。 28.计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序设计级、一般机器级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 29.对存储器的要求是容量大、速度快、成本低。为了解决这三方面的矛盾,计算机采用多级存储体系结构,即cache、主存和外存。CPU能直接访问内存cache、主存,但不能直接访问外存。主存储器的技术指标有存储容量、存取时间、存储周期、存储器带宽。磁表面存储器主要技术指标有_存储密度、存储容量、平均存取时间_和数据传输率。 30.若浮点数用补码表示,则判断运算结果是否为规格化数的方法是_数符与尾数小数点后第一位数字相异为规格化数。 31.流水CPU 是由一系列叫做“段”的处理线路所组成,和具有m个并行部件的CPU相比,一个 m段流水CPU_具备同等水平的吞吐能力。 DMA 控制器按其_组成_结构,分为_选择_型和_多路_型两种。 32.为了运算器的_高速性_,采用了_先行_进位,_阵列_乘除法和流水线等并行措施。 33. 相联存储器不按地址而是按内容访问的存储器,在cache中用来存放行地址表,在虚拟存储器中用来存放页表和段表。 34.硬布线控制器的设计方法是:先画出指令周期流程图,再利用布尔代数写出综合逻辑表达式,然后用门电路、触发器或可编程逻辑等器件实现。 1.CPU中有哪几类主要寄存器,用一句话回答其功能。 答:A.数据缓冲寄存器(DR) B.指令寄存器(IR) C.程序计算器(PC) D.数据地址寄存器(AR) E.通用寄存器(R0~R3) F.状态字寄存器(PSW) 功能:执行指令、操作、时间的控制以及数据加工。 2.指令和数据都用二进制代码存放在内存中,从时空观角度回答CPU如何区分读出的代码是指令还是数据。 答:计算机可以从时间和空间两方面来区分指令和数据,在时间上,取指周期从内存中取出的是指令,而执行周期从内存取出或往内存中写入的是数据,在空间上,从内存中取出指令送控制器,而执行周期从内存从取的数据送运算器、往内存写入的数据也是来自于运算器。 3.PCI总线中三种桥的名称是什么?简述其功能。

(整理)常用存储器芯片设计指南

常用存储器芯片设计指南 现代通讯产品中,各种存储器的应用已经是越来越广泛,可以这么说,产品中包含的存储器的特性的好坏,直接关系到产品整体性能。因此,存储器芯片的设计,在通讯产品的设计中,也显得愈发重要。 目前在通讯产品中应用的存储器,主要有FLASH、SSRAM、SDRAM、串行PROM等,由此延伸出去还有在接口电路中经常应用的FIFO、双口RAM等,下面的内容就是这些常用存储器芯片的原理介绍和在产品中的设计指南。 FLASH介绍 一、BOOT ROM简介 我们在CPU最小系统中一般采用AM29LV040B-90 // SST39VF040-90-4C-NH (代码:10300067,512kB,8位总线宽度,PLCC32封装,3.3V供电)作为BOOT ROM。 BOOT ROM中存放的是系统自举程序,实现CPU系统的自举。当系统上电后,CPU 首先运行BOOT ROM中的程序,完成对CPU系统的初始化。 图1 AM29LV040B-90 // SST39VF040-90-4C-NH引脚图 该FLASH芯片可在线读写,但作为BOOT ROM时,我们一般用烧录机烧写入程序,不对其进行在线写。其读操作时序如图2所示。

图2 读操作时序 下面给出一个MPC860最小系统的应用例子。 图3 MPC860 BOOT电路图 因为我们不需要在线写,所以为防止BOOT FLASH的程序被改写,一般将/WE信号接高电平。 MPC860用8位数据口的方式访问BOOT,经缓冲之后的数据线为BD00-BD07。MPC860地址线使用A31-A13,经一级驱动与BOOT相连。使用/CS0片选端,地址范围0x0800 0000~0x0807 FFFF,使用内部等待,等待周期为8。 BOOT ROM中存放的是系统自举程序,实现MPC860系统的自举。当系统上电后,MPC860首先运行BOOT ROM中的程序,该程序首先完成MPC860的初始化,然后根据参数,将Flash ROM中的应用程序复制到SDRAM空间中,然后将控制权移交给该应用程序运行;或准备应用程序加载,进入调试状态。

主存储器的设计

主存储器的设计 主存储器的设计 半导体存储器是构成现代计算机主存储器的主要存 储介质,在进行计算机主存储器设计时,主要要考虑以下几方面的因素: ·存储器芯片的选择,半导体存储器芯片种类繁多,在选择存储器芯片构成机器主存储器时,应根据需要合理选择。 ·CPU与存储器的速度匹配,机器的性能是由多方面的因素决定的,其中CPU访存速度是影响机器性能的关键因素之一,高性能CPU需要高速的存储器相匹配。 ·存储器与CPU的信号连接,主要包括数据信号线、地址信号线和控制信号线的连接等。 1. 半导体存储器芯片 半导体存储器芯片的性能主要体现在两个方面:一是芯片的容量,二是芯片的存取速度。存储器芯片的容量可以表征为以下形式: 容量=字数×位数

其中,字数表示存储器芯片所具有的字单元数,而位数则表示每一个字单元所具有的位单元数。例如,静态RAM芯片2114的容量为:1K×4位,则表示该芯片共有1024个字单元,每个字单元的位数为4位,也就是说,对2114的访问,一次可同时读/写4位。再如,只读存储器ROM芯片2716的容量为:2K×8位,则表示该芯片共有2048个字单元,每个字单元的位数为8位,也就是说,对2716的访问,一次可以并行读出8位。 半导体存储器芯片是通过引出脚与外部连接的。引出脚主要包括四类:数据引脚、地址引脚、控制引脚和电源及接地引脚。图4-13(a)、(b)、(c)分别给出的是三种芯片256K位的SR AM、16M位的DR AM和8M 位的EPROM的引脚图。 对图(a)的SRAM芯片来说,各引脚的功能如下: ·引脚A0~A14:15条地址信号线,用于访问215=32K的字单元,该地址是CPU访存时给出,所以对存储器芯片来说,地址线是单向输入。

相关文档
最新文档