Cadence、SYnopsys、Mentor系列软件的全安装

Cadence、SYnopsys、Mentor系列软件的全安装
Cadence、SYnopsys、Mentor系列软件的全安装

软件平台:RedHat Enterprise Linux Advanced Server 5.2

安装操作系统时,选择自定义主机名,定义计算机名为:RHEL

安装好系统后,在/etc /X11/xorg.conf中的末尾加入如下语句并保存:

Section "Extensions"

Option "Composite" "Disable"

EndSection

硬件平台:神舟F205T,显卡 ATI X1600

一、Mentor Graphics:

(一)Modelsim

1、Modesim 6.5的安装:

终端中输入:

>./install.linux

2、出现图形化安装界面,依次选择 Agree -> 选择要安装的软件-> 选择安装目标文件夹-> 安装

3、配置license,在 windows下用

modelsim6.5的破解器:双击 MentorKG.exe,在同目录下产生LICENSE.TXT

4、配置启动脚本,在用户主目录下,用gvim打开 .bashrc文件,加入如下两行:

export LM_LICENSE_FILE=/home/mentor/modelsim/modeltech/LICENSE.TXT

export PATH=$PATH:/home/mentor/modelsim/modeltech/linux

5、把windows下的 LICENSE.TXT拷贝到linux下的/home/mentor/modelsim/modeltech目录中

6、编辑/home/mentor /modelsim/modeltech目录下的modelsim.ini,找到VoptFlow = 1

这一行,并将1改为0

7、启动modelsim:

>vsim

(二)Calibre

1、Calibre 2008.01.20的安装:

终端中输入:

>install.linux

2、出现图形化安装界面,依次选择Install Products → Agree → 选择安装文件→ 选择目标文件夹→ 选择组件→ next → install 开始安装,大约3分钟完成。

3、制作license,在 windows下用calibre 2008可用的crack制作,

第一步,得到你的网卡MAC 地址:0040D0AE9EFD

第二步,在破解器所在的目录下,输入如下命令:

mentorkg -h 0040D0AE9EFD -all -maxlen -1 -o license.dat

第三步,用文本编辑器打开得到的 license.dat文件,在开头加入如下两句话:SERVER 0040D0AE9EFD TCP:1717

DAEMON mgcld $MGC_HOME/lib/mgcld

(1717是端口号,可以任意填写)

4、配置启动脚本,编辑用户主目录下的 .bashrc文件,加入如下几行:

export MGC_HOME=/home/mentor/calibre/ixl_cal_2008.1_20.15

export MGLS_LICENSE_FILE=/home/mentor/calibre/license.dat

export PATH=$PATH:$MGC_HOME/bin

我的情况是:没有加入“export MGLS_LICENSE_FILE=/home/mentor/calibre/license.dat”这句话,也没有为calibre专门制作 license,因为modesim的license就可以启动calibre,迄今得到的 .bashrc 文件如下:

export MGC_HOME=/home/mentor/calibre/ixl_cal_2008.1_20.15

#export MGLS_LICENSE_FILE=/home/mentor/calibre/license.dat

export LM_LICENSE_FILE=/home/mentor/modelsim/modeltech/LICENSE.TXT

export PATH=$PATH:/home/mentor/modelsim/modeltech/linux

export PATH=$PATH:$MGC_HOME/bin

接着往下看,synopsys系列软件的安装

二、Synopsys:

(一)安装installer V2.0,直接解压缩 installer_v2.0.tar.Z 到某一目录下即可(二)安装synopsys license管理器,即scl10.9.3或 scl10.9.1d,都可以。

1. 进入解压得到的installer目录,输入如下命令:>./setup.sh ,如下图:

2.找到scl所在的目录,一路点击 next ,其间你要选择安装目标位置,如我的是

/home/synopsy/10.9.3 ,直至完成scl的安装。

(三)安装VCS

1. 进入解压得到的installer目录,输入如下命令:>./setup.sh

2.找到vcs所在的目录,一路点击 next ,其间你要选择安装目标位置,如我的是

/home/liude/soft ,如下图:

3. 一路点击next ,其间选择你要安装的目标位置,如我的是:

/home/synopsys/vcs-mx_vA-2008.09

4. 其间出现的dismiss等信息,你可以看也可以不看,直接点击 dismiss 按钮,直至完成。

(四)安装Design Compiler , IC Compiler , PrimeTime , Astro 等,方法同安装VCS时的步骤。

(五)配置license,用EFA Glic 0.4b和比较全的synopsys.src来产生license.dat ,具体产生方法见你自己下载的EFA Glic 04b压缩包里的说明,而且网上有很多的license 产生教程。这里就不细说了。把得到license.dat 重新命名为synopsys.dat 放到

/home/synopsy/ 下。

(六)配置启动脚本,用文本编辑器编辑用户主目录下的 .bashrc 文件,加入如下内容(具体内容省略),见下文得到的bashrc文件。

迄今得到的 .bashrc 文件如下:

##################################################################

alias lmlis='/home/synopsys/10.9.3/linux/bin/lmgrd -c /home/synopsys/synopsys.dat'

export MGC_HOME=/home/mentor/calibre/ixl_cal_2008.1_20.15

export SNPS_HOME=/home/synopsys

export VCS_HOME=$SNPS_HOME/vcs-mx_vA-2008.09

export DC_HOME=$SNPS_HOME/syn_vB-2008.09

export PT_HOME=$SNPS_HOME/pts_vB-2008.06

export ICC_HOME=$SNPS_HOME/icc_vB-2008.09

export ASTRO_HOME=$SNPS_HOME/astro_vZ-2007.03

#export MGLS_LICENSE_FILE=/home/mentor/calibre/license.dat

export LM_LICENSE_FILE=/home/mentor/modelsim/modeltech/LICENSE.TXT

export LM_LICENSE_FILE=$LM_LICENSE_FILE:/home/synopsys/synopsys.dat

export PATH=$PATH:/home/mentor/modelsim/modeltech/linux

export PATH=$PATH:$MGC_HOME/bin

export PATH=$PATH:$VCS_HOME/bin

export PATH=$PATH:$DC_HOME/bin

export PATH=$PATH:$PT_HOME/bin

export PATH=$PATH:$ICC_HOME/bin

export PATH=$PATH:$ASTRO_HOME/bin:$ASTRO_HOME/bin/IA.32

alias dv=design_vision

alias pt=primetime

alias icc='icc_shell -gui'

alias as=Astro

##################################################################

启动各个软件,一切OK。呵呵!!!!!!!!!!!!!大家对比一下上下两个bashrc 文件,有些东西是要讲究顺序的。

三、 Cadence

(一)一、IC6.1.3.1的安装:

将ic613的4个tar压缩包解压,在同一目录下得到4个CDROM,在运行如下命令:

>./iscape.sh

弹出cadence的安装软件界面,按照要求输入安装包的路径,输入CDROM1的路径即可。之后,按要求输入安装目标目录,下一步后,选择需要的软件,除了64位以外的,其它都选。一段时间后,安装完成。之后就是对软件的配置,一共分5步,中间的根据说明来设置,一般选择no就可以;最后一步是配置OA格式文件的安装路径,选择默认的

/home/cadence/ic613/oa_v22.04.028 即可。至此安装过程全部完成。

为了能使用ic610的 license,必须用ic610的license server--lmgrd来启动license。用iscape.sh来安装ic610的license server,同ic613的安装方法一样,瞬间完成,不过要安装在单独的文件夹内:/home/cadence/lmgrd 。license server must be version 10.8.5 or below , 10.8.6 from ic613 does not accept the old license !!lmgrd -v prints out the license server version。

下一步是打补丁,破解。进入 patch文件夹下,输入如下命令:

>./sfk rep -bylistflexlm.pat -dir $CADENCE_IC613_DIR_PATH -yes

把ic610的license放到$CDS_ROOT下,接着写启动脚本,编辑用户家目录下的.bashrc

文件,加入如下

语句:

a lias lmlic='/home/cadence/lmgrd/tools.lnx86/bin/lmgrd -c /home/cadence/cadence610.dat' export CDS_ROOT=/home/cadence

export IC_HOME=$CDS_ROOT/ic613

export OA_HOME=$IC_HOME/oa_v22.04.028

export CDS_LIC_FILE=5280@RHEL

export LM_LICENSE_FILE=$CDS_ROOT/cadence610.dat

export CDS_Netlisting_Mode=Analog

export PATH=$PATH:$IC_HOME/bin:$IC_HOME/tools/dfII/bin:$IC_HOME/tools/bin export MOZILLA_HOME=/usr/bin/firefox

保存且退出。

终端输入 virtuoso& 命令可以启动Cadence Virtuoso,但不知道具体的功能还有没有问题。以后去实验。

(二)IUS82

把下载的IUS82USR1的三个tar包解压,得到一个CDROME1的文件夹,

进入/home/cadence /InstallScape/iscape/bin目录下,运行如下命令:

>./iscape.sh

弹出Cadence系列软件的安装工具,选择解压IUS82得到的CDROME1目录,之后看提示next,

之后选择安装的目的文件夹/home/cadence/ius82/ ,然后选择要安装的软件包,一般是不选有64 bit字样的软件。点击next开始安装。安装完成后,不要进行软件的配置。在ius82目录下建立一个tools.lnx86目录的链接 tools

>ln -s tools.lnx86 tools

接着写启动脚本,打开.bashrc文件,加入如下语句(这里就不写了,看最终的bashrc)。

启动软件进行验证:

>nclaunch

可以启动,没有任何问题。呵呵,好高兴。

最终得到的 .bashrc文件内容如下:

# .bashrc

# User specific aliases and functions

alias rm='rm -i'

alias cp='cp -i'

alias mv='mv -i'

alias ll='ls -lsh'

# Source global definitions

if [ -f /etc/bashrc ]; then

. /etc/bashrc

fi

########################################################################### alias lmlic='/home/cadence/lmgrd/tools.lnx86/bin/lmgrd -c /home/cadence/cadence610.dat' alias lmlis='/usr/synopsys/10.9.3/linux/bin/lmgrd -c /usr/synopsys/synopsys.dat'

########################################################################### export MGC_HOME=/home/mentor/calibre/ixl_cal_2008.1_20.15

export SNPS_HOME=/usr/synopsys

export CDS_ROOT=/home/cadence

########################################################################### export VCS_HOME=/home/synopsys/vcx_vA-2008.09

export DC_HOME=$SNPS_HOME/syn_vB-2008.09

export PT_HOME=$SNPS_HOME/pt_vB-2008.09

export ICC_HOME=$SNPS_HOME/icc_vB-2008.09

export ASTRO_HOME=$SNPS_HOME/astro_vZ-2007.03

export IC_HOME=$CDS_ROOT/ic613

export OA_HOME=$IC_HOME/oa_v22.04.028

export IUS_HOME=$CDS_ROOT/ius82

########################################################################### export CDS_LIC_FILE=5280@RHEL

export LM_LICENSE_FILE=/home/mentor/modelsim/modeltech/LICENSE.TXT

export LM_LICENSE_FILE=$LM_LICENSE_FILE:/usr/synopsys/synopsys.dat

export LM_LICENSE_FILE=$LM_LICENSE_FILE:/home/cadence/cadence610.dat

export CDS_Netlisting_Mode=Analog

########################################################################### export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$IUS_HOME/tools/lib

#export PATH=$PATH:/home/mentor/modelsim/modeltech/linux

export

PATH=$PATH:$MGC_HOME/bin:$VCS_HOME/bin:$DC_HOME/bin:$PT_HOME/bin export PATH=$PATH:$ICC_HOME/bin:$ASTRO_HOME/bin:$ASTRO_HOME/bin/IA.32 export PATH=$PATH:$IC_HOME/bin:$IC_HOME/tools/dfII/bin:$IC_HOME/tools/bin export

PATH=$PATH:$IUS_HOME/bin:$IUS_HOME/tools/bin:$IUS_HOME/tools/dfII/bin

###########################################################################

alias dv=design_vision

alias pt=primetime

alias icc='icc_shell -gui'

alias as=Astro

export MOZILLA_HOME=/usr/bin/firefox

迄今为止,我就安装了这么多软件,都可以使用,大家可以放心。其余synopsy 和cadence的软件安装方法同我讲的一样。至于license:IC610的license网上一大堆,自己找去,synopsys的license用EFA Glic0.4b制作。mentor的license网上也是一大堆,很容易找到。估计这么多软件够用了,以后顶多就再安装一个SOC Encounter 8.1。

最后,对系统说一下,RHEL5.3可以用,但打开VCS的DVE时,无法使用,其

他软件都可以。rhel4.7、4.6肯定可以用,这连想都不用想。其他系统,如 ubuntu、slackware、mandrive、redflag应该也可以用,但估计会有一些问题,需要你

自己去搞定,很麻烦,rpm包的依赖性让人头疼。deb包相对来说可以在线升

级下载并安装

Cadence(allegro)中文教程

Cadence Allegro 中文简易手册-http://www.21spacetime.com收藏整理 Cadence Allegro简易手册Allegro PCB Layout System Lab Manual. CHAPTER 1 熟悉环境 在开始前请将范例复制到您的工作路径下如: <在安装路径下>\share\pcb\selfstudy\user1 ? c:\allegroclass\user1 启动程序 开始?程序集?Cadence?PCB system?Allegro(电路板工具) 开始?程序集?Cadence?PCB system?Pad Designer(焊点编辑)

开启旧档 选 FILE/OPEN 请开启 C:\AllegroClass\User1\Cds_Routed.brd档如果选了Change Dir 则会将现有路径C:\AllegroClass\User1变成你的内定工作路径 认识你的工作窗口 有指令区menu bar图标区icon ribbon控制盘control panel工作区design window状态区status window命令区console window. 若想自定窗口位置customize 则选View-Customization / Display可设左侧control panel 所放的新位置为浮动式undocked贴左侧Docked_left贴右侧 Docked_right(系统值) View / customization / toolbar 则设定控制图标区显示效果项目…

显示缩放 Zoom by Point ?显示框选区以左键框二点 Zoom fit ?显示资料全区 Zoom in ?放大比例 Zoom out ?缩小比例 Zoom world ?显示整个工作区 Zoom center ?光标点为下个屏幕中心 按Ctrl键配合按着的鼠标右键画w即可Zoom fit.若画Z即可Zoom in 画面平移PAN 1.利用方向键可平移 2.三键鼠标则按中间键即可动态平移.若为二键鼠标则为右键+shift 显示项目控制 在右侧的控制盘中有visibility 项目来控制显出的对象打勾者代表要显示 详细的设定则用指令Setup-color/Visibility 而这些对象分成群组 Group级Class次级 Subclass

cadence16.3破解

orcad 16.3安装和破解方法 2010-06-01 00:59 以下是我安装和破解orcad 16.3的详细步骤。很多朋友有程序,也有破解,但是不知道怎么操作,我就是来帮助这些朋友的。 注意:由于博客图片的横向宽度限制,某些图片很模糊,请将图片保存到你的计算机,然后再查看,就会很清晰了。 1、我使用的ORCAD16.3是这个版本,SHooTERS的破解向来很著名! 2、推荐使用WINMOUNT这个软件构建虚拟光驱,挂载ISO文件。下图是挂载后ISO文件的内容: 3、双击上图中的setup.exe,启动安装程序,弹出下图界面,首先安装License Manager:

4、安装License Manager时,会碰到如下界面,选择Cancel: 5、随后会弹出如下界面,选择Y es:

6、点击Finish,完成License Manager的安装: 7、将如下两个文件复制到LicenseManager安装目录中:

8、碰到替换时,选择全部替换: 9、用文档编辑器打开刚刚复制到LicenseManager目录中的orcad_163.lic,将第一行的“this_host”修改成自己的计算机名称:

10、查看计算机名称,如下图所示,我的计算机名称是LEEB,而不是LEEB.就是说不包括尾部的句号! 11、将orcad_163.lic重命名为license.lic,如下图所示:

12、回到ORCAD的安装界面,点击Product Installation,开始安装ORCAD的各种产品: 13、碰到这个界面,什么都不用输入,直接点击Next:

cadence入门教程_修改版

Introduction to Cadence Customer IC Design Environment 熊三星徐太龙编写 安徽大学电子信息工程学院微电子学系

目录 1. Linux 常用命令 (3) 2. 软件的启动 (5) 3. 建立工程 (7) 4. 画原理图 (9) 5. 原理图仿真 (17) 6. 生成symbol (25) 7. 版图 (30) 8. DRC检查 (50) 9. LVS检查 (54) 10. PEX参数提取 (58) 11. 后仿真 (61)

1.Linux 常用命令 目前,电子设计自动化(Electronic Design Automation, EDA)工具多数都基于Linux操作系统,因此在学习使用EDA之前,有必要掌握一些Linux操作系统的基本命令。 1.mkdir mkdir命令让用户在有写权限的文件夹(目录)下建立一个或多个文件夹(目录)。其基本格式如下: mkdir dirname1 dirname2 ... (dirname 为文件夹或者目录的名字) 2.cd cd命令让用户进入一个有权限的文件夹(目录)。其基本格式如下: cd Filename (Filename为文件夹或者目录的名字) cd .. (.. 表示上一层文件夹或者目录) 3.ls ls命令用以显示一个文件夹(目录)中包含的文件夹(目录)或者文件。其基本格式如下: ls Filename (Filename为文件夹或者目录的名字) 如果ls命令后没有跟文件夹(目录)名字,显示当前文件夹(目录)的内容。 ls 命令可以带一些参数,给予用户更多相关的信息: -a : 在UNIX/Linux中若一个文件夹(目录)或文件名字的第一个字元为"." ,该文件为隐藏文件,使用ls 将不会显示出这个文件夹(目录)或文件的名字。如cshell 的初始化文件.cshrc,如果我们要察看这类文件,则必须加上参数-a。格式如下:ls –a Filename -l : 这个参数代表使用ls 的长(long)格式,可以显示更多的信息,如文件存取权,文件拥有者(owner),文件大小,文件更新日期,或者文件链接到的文件、文件夹。 4.cp cp命令用于文件夹(目录)或文件的复制。其基本格式如下: cp source target 将名为source的文件复制一份为名为target的文件。如果target 文件不存在,则产生文件名为target 的文件,如果target 文件存在,缺省时自动覆盖该文件。 cp file1 file2…dir 将文件file1 file2 ... 都以相同的文件名复制一份放到目录dir 里面。

synopsys_ic_compiler_介绍、安装、调试和设计流程

synopsys ic compiler 介绍、安装、调试和设计流程 加入该小组相关分类: petery (组长) 2007/9/23 顶楼举报 一、介绍 synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。主要的工具有: LEDA LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。 LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力 VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。 Scirocco Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS 一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。 Vera Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。 Physical Compiler Physical Compiler解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDS II的设计流程中,Physical Compiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。 Clocktree Compiler ClockTree Compiler是嵌入于Physical Compiler的工具,它帮助设计者解决深亚微米IC设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。 DC-Expert DC得到全球60多个半导体厂商、380多个工艺库的支持。据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。它使IC设计者在最短的时间内最佳的利用硅片完成设计。它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。 DC Ultra 对于当今所有的IC设计,DC Ultra 是可以利用的最好的综合平台。它扩展了DC Expert的功能,包括许多高级的综合优化算法,让关键路径的分析和优化在最短的时间内完成。在其中集成的Module Compiler数据通路综合技术, DC Ultra利用同样的VHDL/Verilog流程,能够创造处又快又小的电路。 DFT Compiler DFT Compiler提供独创的“一遍测试综合”技术和方案。它和Design Compiler 、Physical Compiler系列产品集成在一起的,包含功能强大的扫描式可测性设计分析、综合和验证技术。DFT Compiler可以使设计者在设计流程的前期,很快而且方便的实现高质量的测试分析,确保时序要求和测试覆盖率要求同时得到满足。DFT Compiler同时支持RTL级、门级的扫描测试设计规则的检查,以及给予约束的扫描链插入和优化,同时进行失效覆盖的分析。 Power Compiler Power Compiler?提供简便的功耗优化能力,能够自动将设计的功耗最小化,提供综合前的功耗预估能力,

cadence入门教程

本文介绍cadence软件的入门学习,原理图的创建、仿真,画版图和后仿真等一全套过程,本教程适合与初学着,讲到尽量的详细和简单,按照给出的步骤可以完全的从头到尾走一遍,本教程一最简单的反相器为例。 打开终端,进入文件夹目录,输入icfb&启动软件,主要中间有个空格。 启动后出现下图: 点击Tools的Library Manager,出现如下: 上面显示的是文件管理窗口,可以看到文件存放的结构,其中Library就是文件夹,Cell就是一个单元,View就是Cell的不同表现形式,比如一个mos管是一个Cell,但是mos管有原理图模型,有版图模型,有hspice参数模型,有spectre参数模型等,这就列举了Cell的4个View。他们之间是树状的关系,即,Library里面有多个Cell,一个Cell里面有多个View。应该保持一个好习惯就是每个工程都应该建立一个Library,Cell和View之间的管理将在后面介绍。

现在建立工程,新建一个Library,如下左图,出现的对话框如下有图: 在上右图中选择合适的目录,并敲入名字,这里取的是inv,这就是新建的文件夹的名字,以后的各种文件都在这个文件夹下。OK后出现下面对话框 这个对话框是选择是否链接techfile,如果只是原理图仿真而不用画版图,就选择Dont need a techfile,这里我们要画版图,而且有工艺库,选择Attach to an existing techfile,OK 后出现下面对话框:

在technology Library选择tsmc18rf,我们使用的是这个工艺库。Inv的文件夹就建好了,在Library Manager就有它了,如下图: 文件夹建好了后,我们要建立原理图,在inv的Library里面新建Cell如下:

Cadence原理图库设计

Cadence原理图库设计 一.工具及库文件目录结构 Cadence提供Part Developer库开发工具供大家建原理图库使用。 Cadence 的元件库必具备如下文件目录结构为: Library----------cell----------view(包括Sym_1,Entity,Chips,Part-table) Sym_1:存放元件符号 Entity:存放元件端口的高层语言描述 Chips:存放元件的物理封装说明和属性 Part-table:存放元件的附加属性,用于构造企业特定部件 我们可以通过定义或修改上述几个文件的内容来创建和修改一个元件库,但通过以下几个步骤来创建元件库则更直观可靠一些。 二.定义逻辑管脚 在打开或新建的Project Manager中,如图示,打开Part Developer。 然后出现如下画面,

点击Create New,下图新菜单中提示大家选择库路径,新建库元件名称及器件类型。 点击ok后,Part Developer首先让大家输入元件的逻辑管脚。一个原理图符号可以有标量管脚和矢量管脚。标量管脚在符号中有确定位置,便于检查信号与管脚的对应,但矢量管脚却可使原理图更简洁,适用于多位 总线管脚。

点击上图中的Edit,编辑器会让我们对首或尾带有数字的字符串的多种输入方式(A1; 1A; 1A1)进行选择,一但选定,编辑器即可对同时具有数字和字母的管脚输入进行矢量或标量界定。 管脚名首尾均不带数字的字符串如A; A1A则自动被识别为标量管脚。 按照元件手册决定管脚名称及逻辑方向,选择是否为低电平有效,点击ADD即可加入新的管脚。 (注:不论是标量或矢量管脚,均可采用集体输入,如在Pin Names栏可输入A1-A8, 1C-16C) 三.加入封装相关信息 点击Packages,按右键,选择New,出现如下画面。

Cadence_SPB16.3入门教程——元器件布局 .doc

Cadence_SPB16.3入门教程——元器件布局 2012-03-07 13:50:28| 分类:cadence | 标签: |字号大中小订阅 在摆放元件的时候可以与OrCAD Capture交互来完成。在OrCAD Capture中打开原理图,选择菜单 Options->Perferences,如图3.11所示。 图3.11 OrCAD Capture交互 弹出Preferences对话框,如图3.12所示。 图3.12 Preferences 对话框 点击Miscellaneous标签,将Enable Intertool Communication复选框选中。点击确定关闭对话框。 之后在allegro中打开Placement 对话框的状态下,首先在原理图中点击需要放置的元件使之处于选中状态下,然后切换到allegro中,把鼠标移到作图区域内,就会发现该元件跟随着鼠标一起移动了,在想要放置的位置单击鼠标左键即可将该元件放置在PCB中,cadence的这个交互功能非常的好用,不仅在布局的时候可以这样,在布线仿真的时候都能使用该功能来提高效率。 PCB布局是一个很重要很细心的工作,直接影响到电路信号的质量。布局也是一个反复调整的过 程。一般高速PCB布局可以考虑以下几点: ·CPU或者关键的IC应尽量放在PCB的中间,以便有足够的空间从CPU引线出来。

·CPU与内存之间的走线一般都要做等长匹配,所以内存芯片的放置要考虑走线长度也要考虑间隔是 否够绕线。 ·CPU的时钟芯片应尽量靠近CPU,并且要远离其它敏感的信号。 ·CPU的复位电路应尽量远离时钟信号以及其它的高速信号。 ·去耦电容应尽量靠近CPU电源的引脚,并且放置在CPU芯片的反面。 ·电源部分应放在板子的四周,并且要远离一些高速敏感的信号。 ·接插件应放置在板子的边上,发热大的元器件应放在置在通风条件好的位置,如机箱风扇的方向。 ·一些测试点以及用来选择的元件应放在顶层,方便调试。 ·同一功能模块的元件应尽量放在同一区域内。 在布局的过程中,如果某一元件的位置暂时固定了,可以将其锁住,防止不小心移动以提高效率。Allegro提供了这个功能。点击工具栏的图标按钮,然后点击一下元件,右键选择Done,然后该元件就 再也无法选中了,如果要对已经锁定的元件解锁,可以点击工具栏的图标按钮,然后点击右键Done。 也可以点击该按钮后在PCB画图区域点击右键,选择Unfix All选项来解锁所有的元件。 摆放元件的时候,如果需要将元件放置在对面那一层,可以选中元件后单击右键选择菜单Mirror这时 候该元件就被放置到相反的那一层。 在完成元件的布局后,还要重新画板框以及禁止布线层与禁止摆放层。可以参考上面的画板框方法来 完成这些工作,这里就不重复了。

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

cadence入门教程

Cadence 系列软件从schematic到layout入门 一.客户端软件使用及icfb启动 要使用工作站上的软件,我们必须在PC中使用xwinpro等工具连接到工作站上。从开始菜单中,运行xwinpro的xSettings,按照下图设置: 点击上图的Settings在出现的窗口中按如下设置(connect host选择为192.168.1.137):

设置完后,从开始菜单中运行xwinpro的xsessions,应该就可以进入登陆界面,用户名为user1,密码为root。 二、Schematic Cadence系列软件包含了电路图工具Schematic,晶体管级电路仿真工具Spectre,以及版图工具Virtuoso等。一般来说,我们先用Schematic画好电路原理图然后进行仿真,最后用Virtuoso手动画版图或者直接进行版图综合,最后对版图进行L VS,DRC等验证。 在登陆进工作站后,点击鼠标右键,选择tools——>terminal,在弹出的terminal窗口中敲入命令icfb&就可以启动cadence了。 图1 icfb的主界面 我们以建立一个反相器电路为例子: 在icfb中,任何一个电路,不论是已经存在的可以引用的库,还是用户新建立的一个电路,都是一个library. 一个library一般有若干个Cell(单元电路),每个cell有若干个

schematic(电路原理)和若干个layout(版图)。所以,我们要做的第一步,就是先创建一个自己的“库”,File菜单->new->library 图2 新建一个库的界面 从这个新建一个library的界面,我们必须输入新建立的库的名称,并且选择好这个库应该存放的目录,然后注意看右边的三个选项,关于新建立的库是否需要链接到Technology File 的问题。首先,这个Technology File一般是指工艺库,由Foundry提供。如果最终做的电路是需要画出Layout(版图)的,就必须要有工艺库,如果不需要画Layout,那就可以不需要工艺库。由于我们需要演示这一步,所以就选择Attach to an existing techfile。(也可以在建立之后,再Attach to an existing techfile)。 输入name: testinv, (大家在做的时候自己起一个名字)。 现在,我们就已经建立好了一个新的“库”,为了给这个库增加schematic(电路图)和Layout(版图)我们就必须对这个库进行“管理”,从icfb的主菜单(图1)中的Tools菜单->Library Manager.

虚拟机Linux系统中安装SYNOPSYS工具图解教程

虚拟机Linux系统中安装SYNOPSYS工具图解教程 陈浩利 2011-05-16 一、安装环境 虚拟机:VMware 7.1 操作系统:Fedora 10 installer版本:2.0 scl版本:10.9.3(据网上资料,有些用了11.1版本的,兼容性不是很好,故用此版本) dc版本:syn_vC-2009.06-SP5 vcs版本:vcs-mx_vD-2009.12 simif版本:simif_vC-2009.06-SP1 pt版本:prime time pts_vD-2009.12-SP1 (以上软件EETOP上均有下载链接) 二、安装步骤 2.1建立共享文件夹 前提:VMware和Linux系统均安装了VMware Tools,如果没有可以将VMware Tools安装文件拷贝在U 盘中(Fedora 10可以识别U盘)进行安装。 新建一个虚拟机,然后编辑虚拟机: 添加共享文件夹目录:

设置的文件夹Windows系统和Linux系统均可对其进行读写,将Synopsy的各种安装文件放在这个文件夹,再拷贝到Linux系统自己的分区。 2.2 拷贝安装文件 2.2.1 新建文件夹 进入Fedora10 操作系统,在/home/chenhaoli(不同用户有不同的用户名,Fedora10中,用户只有在该路径下具有完全读写权限)下新建文件夹: /home/chenhaoli/eda(新建)/synopsys(新建)|--installer |--tar(存放installer安装文件) |--installer_v2.0(安装路径) |--scl |--tar(存放scl安装文件) |--scl_v10.9.3(scl安装路径) |--license(存放license) |--dc |--tar(存放dc安装文件) |--dc_2009(dc_2009安装路径) |--vcs |--tar(存放dc安装文件) |--vcs_2009(vcs_2009安装路径) |--simif |--tar(存放dc安装文件) |--simif_2009(simif_2009安装路径) |--pt |--tar(存放dc安装文件) |--pt_2009(pt_2009安装路径)

Cadence.OrCad.v16.3-安装破解

以下是我安装和破解orcad 16.3的详细步骤。很多朋友有程序,也有破解,但是不知道怎么操作,我就是来帮助这些朋友的。 注意:由于博客图片的横向宽度限制,某些图片很模糊,请将图片保存到你的计算机,然后再查看,就会很清晰了。 1、我使用的ORCAD16.3是这个版本,SHooTERS的破解向来很著名! 2、推荐使用WINMOUNT这个软件构建虚拟光驱,挂载ISO文件。下图是挂载后ISO文件的内容:

3、双击上图中的setup.exe,启动安装程序,弹出下图界面,首先安装License Manager: 4、安装License Manager时,会碰到如下界面,选择Cancel: 5、随后会弹出如下界面,选择Yes:

6、点击Finish,完成License Manager的安装: 7、将如下两个文件复制到LicenseManager安装目录中:

8、碰到替换时,选择全部替换: 9、用文档编辑器打开刚刚复制到LicenseManager目录中的orcad_163.lic,将第一行的“this_host”修改成自己的计算机名称: 10、查看计算机名称,如下图所示,我的计算机名称是LEEB ,而不是LEEB. 就是说不包括尾部的句号!

11、将orcad_163.lic重命名为license.lic,如下图所示: 12、回到ORCAD的安装界面,点击Product Installation,开始安装ORCAD的各种产品:

13、碰到这个界面,什么都不用输入,直接点击Next: 14、破解文件包含了所有产品许可,所以可以全部选择产品,也可以依据自己需要部分选择产品:

教学EN_cadence+spectre+使用手册

CS/EE 5720/6720 – Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system, which is intended to develop professional, full-scale, mixed-signal microchips. The modules included in the toolset are for schematic entry, design simulation, data analysis, physical layout, and final verification. The Cadence tools at our university are the same as those at most every professional mixed-signal microelectronics company in the United States. The strength of the Cadence tools is in its analog design/simulation/layout and mixed-signal verification and is often used in tandem with other tools for digital design/simulation/layout, where complete top-level verification is done in the Cadence tools. An important concept is that the Cadence tools only provide a framework for doing design. Without a foundry-provided design kit, no design can be done. The design rules used by Cadence set up in this class is based for AMI’s C5N process (0.5 micron 3 metal 2 poly process). So, how is Cadence set up? Broadly, there are three sets of files that need to be in place in order to use Cadence. 1)The Cadence tools These are the design tools provided by the Cadence company. These tools are located in the /home/cadence directory. They are capable of VLSI integration, project management, circuit simulation, design rule verification, and many other things (most of which we won't use). 2)The foundry-based design kit As mentioned before, the Cadence tools have to be supported by a foundry-based design kit. In this class, we use Cadence design kit developed by the North Carolina State University (NCSU CDK). NCSU CDK provides an environment that has been customized with several technology files and a fair amount of custom SKILL code. These files contain information useful for analog/full- custom digital CMOS IC design via the MOSIS IC fabrication service (https://www.360docs.net/doc/643048203.html,). This information includes layer definitions (e.g. colors, patterns, etc.), parasitic capacitances, layout cells, SPICE simulation parameters, Diva rules for Design Rule Check (DRC), extraction, and Layout Versus Schematic (LVS) verification, with various GUI enhancements. For more information on the capability of the NCSU CDK, go to https://www.360docs.net/doc/643048203.html,/CDKoverview.html

启动dc_shell工具的.synopsys.setup文档

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具 的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告

中总是有:warning:Can’t read link_library file ‘your_library.db’,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup 文件拷贝到你DC脚本目录下(也就是和你脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下修

改内容如下: A、s et lib_path /library/smic18/feview~2/version2.2(注: lib_path为你smic18库安装目录,不同于 DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss. db ] C、s et search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_roo t}/dw/sim_ver \ $lib_path/STD/ Synopsys $lib_path/IO/Synopsys ] D、s et target_library [list $lib_path/STD/Synopsys/smic18_ss.db \

Cadence 快速入门教程

Cadence SPB15.7 快速入门视频教程目录 第1讲课程介绍,学习方法,了解CADENCE软件 第2讲创建工程,创建元件库 第3讲分裂元件的制作方法 区别(Ctrl+B、Ctrl+N切换Part) 点击View,点击Package可以显示所有的元件Part 1、homogeneous 和heterogeneous 2、创建homogeneous类型元件 3、创建heterogeneous类型元件 第4讲正确使用heterogeneous类型的元件 增加packeg属性。点击Option,选择Part Properties,选择new,增加属性。用于在原理图中确定同一块的元件。 1、可能出现的错误 2、出现错误的原因 3、正确的处理方法 第5讲加入元件库,放置元件 1、如何在原理图中加入元件库 2、如何删除元件库 3、如何在元件库中搜索元件 4、放置元件 5、放置电源和地 第6讲同一个页面内建立电气互连(设置索引编号,Tools里面,Annotate来设置) 1、放置wire,90度转角,任意转角(画线时按住Shift) 2、wire的连接方式 3、十字交叉wire加入连接点方法,删除连接点方法(快捷键J) 4、放置net alias方法(快捷键n) 5、没有任何电气连接管脚处理方法(工具栏Place no Conection) 6、建立电气连接的注意事项 第7讲总线的使用方法 1、放置总线(快捷键B) 2、放置任意转角的总线(按住Shift键) 3、总线命名规则(LED[0:31],不能数字结尾) 4、把信号连接到总线(工具栏Place Bus entry 或者E) 5、重复放置与总线连接的信号线(按住Ctrl向下拖) 6、总线使用中的注意事项 7、在不同页面之间建立电气连接(工具栏Place off-page connector) 第8讲browse命令的使用技巧(选中dsn文件,选择Edit中的browse) 1、浏览所有parts,使用技巧(浏览元件<编号,值,库中的名字,库的来源>,双击元件可在原理图上找到元件) 2、浏览所有nets,使用技巧(浏览网络) 3、浏览所有offpage connector,使用技巧(页面间的连接网络,一般一个网络至少会在两个页面中出现) 4、浏览所有DRC makers,使用技巧(DRC检测)

synopsys_DC for Ubuntu10.04安装步骤

Synopsys Design Compiler 2008.09安装步骤 安装环境说明:此文讲述的是基于虚拟机VMware 中的Ubuntu10.04系统安装DC 的详细过程。在其它Linux 系统安装也可以循此步骤安装。 安装资源准备:synopsys installer 2.0版本;scl(scl_v10.9.3_common 和scl_v10.9.3_linux);DC _200809(Design Compiler_200809_common 和Design Compiler_200809_linux);license 。 破解工具:EFA LicGen 0.4b 和 Synopsys SSS Feature Keygen 。 安装的目录结构:在安装的过程中会选择要安装的路径,这些指安装后的文件夹结构。 安装过程:(安装是在root 权限下进行的,推荐) 1. 安装前确保Linux 系统已经安装了csh .若没有安装,通过命令apt-get installer csh 安装, 如下所示: 2. 安装installer :将synopsys installer2.0 解压到installer 文件夹即可. 3. 安装SCL : 通过命令./installer –gui ,采用图形化用户界面的方式安装。首先用cd 命令转 到installer 目录下,然后执行 ./installer –gui 命令。如图所示:(参照图中下方两行命令)

选中目标后,点击Done。 接下来一直点击Next就行,出现下面的情况,点击NO,继续安装。

接着选择要安装的路径(这时选择的路径是最开始准备好的那个目录结构,不能选错了)。 这样scl_v10.9.3_common就安装成功。 用同样的方法安装scl_v10.9.3_linux。

Orcad详细安装教程

Cadence OrCAD Capture版本已经升到16.3了,功能更强大以下是https://www.360docs.net/doc/643048203.html,整理了orcad 16.3的安装和破解详细步骤。网上的教程有很多朋友反映安装后重启又提示破解不成功,经https://www.360docs.net/doc/643048203.html,在WIN7和WINXP安装成功,与网上其它教程有点细节上的差异,就是这点差异导致破解失败。其实ORCAD16.3的破解与16.2的破解方法差不多,破解注意事项与16.2差不多。ORCAD16.2安装破解方法https://www.360docs.net/doc/643048203.html,/html/ziyuanxiazai/2010/1702.html,给大家参考一下。 1、目前ORCAD我使用的ORCAD16.3是这个版本,SHooTERS的破解向来很著名! ~~淘宝皇冠店铺排行~~ 2、推荐使用WINMOUNT这个软件构建虚拟光驱,挂载ISO文件。下图是挂载后ISO文件的内容: ~~淘宝皇冠店铺排行~~

3、双击上图中的setup.exe,启动安装程序,弹出下图界面,首先安装License Manager:~~淘宝皇冠店铺排行~~ 4、安装License Manager时,会碰到如下界面,选择Cancel: ~~淘宝皇冠店铺排行~~ 5、随后会弹出如下界面,选择Yes:

6、点击Finish,完成License Manager的安装: ~~淘宝皇冠店铺排行~~ 7、将如下两个文件复制到LicenseManager安装目录中:

8、碰到替换时,选择全部替换: ~~淘宝皇冠店铺排行~~ 9、用文档编辑器打开刚刚复制到LicenseManager目录中的orcad_163.lic,将第一行的“this_host”修改成自己的计算机名称:~~淘宝皇冠店铺排行~~ 10、查看计算机名称,如下图所示,我的计算机名称是liangteng,而不是liangteng. 就是说不包括尾部的句号!

Synopsys系列工具简介

Synopsys系列工具简介 Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具。公司主要开发和支持基于两个主要平台的产品,Galaxy设计平台和Discovery验证平台。这些平台为客户实现先进的集成电路设计和验证提供了整套综合性的工具。 Synopsys解决方案包括: System Creation(系统生成) System Verification and Analysis(系统验证与分析) Design Planning(设计规划) Physical Synthesis(物理综合) Design for Manufacturing(可制造设计) Design for Verification(可验证设计) Test Automation(自动化测试) Deep Submicron, Signal and Layout Integrity(深亚微米技术、信号与规划完整性技术) Intellectual Property and Design Reuse Technology(IP 核与设计重用技术) Standard and Custom Block Design(标准和定制模块设计) Chip Assembly(芯片集成) Final Verification(最终验证) Fabrication and Packaging(制造与封装设计工具) Technology CAD(TCAD)(工艺计算机辅助设计技术) 主要包括以下工具: 1.VCS (Verilog Compiled Simulator) 2.DC (Design Compiler) 3.ICC (IC Compiler) 4.PT (PrimeTime) 5.Hercules (Hercules Physical Verification) 6.Star-RCXT (parasitic extraction tool) 7.LEDA (LEDA Checker and LEDA Specifier) 8.Formality (RTL to gate-level equivalence checking of cell-based designs) 9.TetraMAX ATPG (Provides manufacturing test patterns for scan designs)

相关文档
最新文档