兰州交通大学电子专业课程设计,4位与非门的电路设计,2位数值比较器设计

兰州交通大学电子专业课程设计,4位与非门的电路设计,2位数值比较器设计
兰州交通大学电子专业课程设计,4位与非门的电路设计,2位数值比较器设计

兰州交通大学电子与信息工程学院课程设计报告

课题一:4位与非门的电路设计

课题二:2位数值比较器设计

专业电子科学与技术

班级电子1001班

学号

姓名

一、Hspice简介

Hspice线路模拟软件在早期是美国Meta-Software公司根据Berkeley SPICE26G .6、SPICE3及其他线路模拟软件所发展的工业级线路分析软件。Hspice 在基本功能部分和其他SPICE软件相似,可应用于下列领域的电子电路研究,即稳态(直流分析)。暂态(时间分析)及频率(交流分析)等领域。由于Meta-Software公司在集成电路制程技术持续进步与元件尺寸缩小下,对于MOSFET模型的适用性与精确性的不断耕耘,以及该公司对元件与电路最优化、罗特卡罗与最坏状况分析等进阶段的应用亦有自我突破,使得Hspice逐渐脱颖而出,超过PSPICE、IsSPICE等软件,成为在集成电路设计上最普遍及最佳的晶体管层次线路模拟软件。1997年,计算机辅助设计软件大厂Avant!公司购并了Meta-Software公司,Hspice也成为Avant!公司众多设计软件之一,并改称为STAR-Hspice。2002年,计算机辅助设计软件大厂Synopsys公司并购了Avant!公司。

SPICE是“Simulation Program with Integrated Circuit Emphasisl”之意,原先的目的是为电子系统中集成电路的模拟与设计而发展的软件。然而,随着电子领域应用面的扩大,Hspice也因为Synopsys公司的持续研发,而具有其特色与功能。因此,Hspice已成功地用在直流到高频操作的电子电路设计。

二、Tanner Tools Pro简介

Tanner Tools Pro是一套集成电路设计软件,包括S-Edit、T-Spice、W-Edit、L-Edit与LVS,各软件的主要功能整理如表1.1所示:

编辑出电路图,再将该电路图输出成SPICE文件。接着利用T-Spice将电路图模拟并输出成SPICE文件,如果模拟结果有错误,再回S-Edit检查电路图,如果T-Spice模拟结果无误,则以L-Edit进行布局图设计。用L-Edit进行布局图设计后要以DRC功能作设计规则检查,若违反设计规则,再将布局图进行修改直到设计规则检查无误为止。将验证过的布局图转化为SPICE文件,再利用T-Spice 模拟,若有错误,再回到L-Edit修改布局图。最后利用LVS将电路图输出的SPICE 文件与布局图转化的SPICE文件进行比较,若对比结果不相等,则返回去修正L-Edit或S-Edit的图。直到验证无误后,将L-Edit设计好的布局图输出成GDSII 文件类型,再交由工厂去制作半导体过程中需要的光罩。

图1.1 Tanner Tool的设计流程

三、CMO简介

CMOS(Complementary Metal Oxide Semiconductor),互补金属氧化物

半导体,电压控制的一种器件。是组成CMOS数字集成电路的基本单元。它是指制造大规模集成电路芯片用的一种技术或用这种技术制造出来的芯片,是电脑主板上的一块可读写的RAM芯片。因为可读写的特性,所以在电脑主板上来用保存BIOS设置完电脑硬件参数后的数据,这个芯片仅仅是用来存放数据的。采用CMOS 技术可以将成对的金属氧化物半导体场效应晶体管(MOSFET)集成在一块硅片上。CMOS由PMOS管和NMOS管共同构成,它的特点是低功耗。由于CMOS中的一对MOS 组成的门电路在瞬间要么PMOS导通、要么NMOS导通、要么都截止,比线性的三极管(BJT)效率要高的多,因此功耗很低。

相对于其他逻辑系列,CMOS逻辑电路具有以下优点:

1、允许的电源电压范围宽,方便电源电路的设计;

2、逻辑摆幅大,使电路抗干扰能力强;

3、静态功耗低;

4、隔离栅结构使CMOS器件的输入电阻极大,从而使CMOS期间驱动同类逻辑门

的能力比其他系列强得多。

发展历史:

早起的CMOS元件和主要的竞争对手BJT相比,很容易受到静电放电(Electro Static Discharge,ESD)的破坏。二新一代的CMOS芯片多半在输出引脚(I/O Pin)和电源及接地端具备ESD保护电路,以避免内部电路元件的闸极或是元件中的PN截面(PN-Junction)被ESD引起的大量电流烧坏。

早期的CMOS设置程序驻留在软盘上的(如IBM的PC/AT),使用很不方便。多数厂家将CMOS设置程序做到了BIOS芯片中,在开机时通过按下某个特定开关键就可以进入CMOS设置程序而非常方便地对系统进行设置,因为这种CMOS设置又通常被叫为BIOS设置。

四、课程设计的目的

1、学习使用电路设计与仿真软件HSPICE,练习用网表文件来描述模拟电路,并熟悉应用HSPICE内部元件库;

2、熟悉用MOS器件来设计四位逻辑输入与非门电路和两位数值比较器,了解用MOS器件设计与TTL与非门的优缺点。

五、课程设计的内容和要求

1、内容:用仿真软件HSPICE,用网表文件来描述模拟电路;

2、要求:用MOS器件来设计四位逻辑输入与非门电路和两位数值比较器。

六、四位逻辑输入与非门电路设计原理

1、两输入与非门:

两位逻辑输入端A、B先运算与再运算非,当两个输入端全为“1”时,输出Y为“0”,当有一个端口为“0”时,输出Y为“1”,其真值表如图1.2

A B Y

0 0 1

0 1 1

1 0 1

1 1 0

图1.2 两输入与非门真值表

2、四输入与非门符号及原理

A

B

四位逻辑输入端A、B、C、D先运算与再运算非,当四个输入端位全为“1”时,输出端Y为“0”,当四个输入端只要有一位为“0”时,输出“1”,其真值

表如图1.3

A B C D Y

0 0 0 0 1

0 0 0 1 1

0 0 1 0 1

0 0 1 1 1

0 1 0 0 1

0 1 0 1 1

0 1 1 0 1

0 1 1 1 1

1 0 0 0 1

1 0 0 1 1

1 0 1 0 1

1 0 1 1 1

1 1 0 0 1

1 1 0 1 1

1 1 1 0 1

1 1 1 1 0

图1.3 四输入与非门真值表

3、S-Edit绘制电路图

七、设计四位输入与非门过程:

1、网表文件

首先在S-Edit中将上述原理图绘制出,仿真后确保电路图正确且能够实现四输入与非功能,然后生成网表文件。在文本文档中写出HSPICE软件所要求的网表文件,并另存为*.sp文件。

网表文件如下:

*SHURUYUFEIMEN4

.OPTIONS LIST NODE POST

.TRAN 20P 50N

Vdd Vdd 0 5

MN1 6 3 7 0 NCH L=1U W=20U

MN2 7 4 8 0 NCH L=1U W=20U

MN3 8 5 Gnd 0 NCH L=1U W=20U

MN4 1 2 6 0 NCH L=1U W=20U

MP1 1 5 Vdd Vdd PCH L=1U W=40U

MP2 1 4 Vdd Vdd PCH L=1U W=40U

MP3 1 3 Vdd Vdd PCH L=1U W=40U

MP4 1 2 Vdd Vdd PCH L=1U W=40U

V2 5 0 PULSE .2 4.8 0N 0N 0N 5N 10N

V3 2 0 PULSE .2 4.8 0N 0N 0N 5N 10N

V4 3 0 PULSE .2 4.8 0N 0N 0N 5N 10N

V5 4 0 PULSE .2 4.8 0N 0N 0N 5N 10N

.measure tran tf trig v(1) val=4.5 fall=1 targ v(1) val=0.5 fall=1

.measure tran tr trig v(1) val=0.5 rise=1 targ v(1) val=4.5 rise=1

.measure tran tpdr trig v(2) val=2.5 rise=1 targ v(1) val=2.5 fall=1

.measure tran tpdf trig v(2) val=2.5 fall=1 targ v(1) val=2.5 rise=1

.measure tpd param='(tpdr+tpdf)/2'

.MODEL PCH PMOS LEVEL=1

.MODEL NCH NMOS LEVEL=1

.END

2、打开网表文件仿真

图形视窗画面中的波形显示

Results Browser工作视窗

3、仿真分析(延时分析)

将以下语句导入之前的网表文件:

.measure tran tf trig v(1) val=4.5 fall=1 targ v(1) val=0.5 fall=1 .measure tran tr trig v(1) val=0.5 rise=1 targ v(1) val=4.5 rise=1 .measure tran tpdr trig v(2) val=2.5 rise=1 targ v(1) val=2.5 fall=1 .measure tran tpdf trig v(2) val=2.5 fall=1 targ v(1) val=2.5 rise=1 .measure tpd param='(tpdr+tpdf)/2'

结果如下:

******

*shuruyufeimen4

****** transient analysis tnom= 25.000 temp= 25.000

******

tf= 4.7851E-11 targ= 1.0552E-10 trig= 5.7672E-11

tr= 1.6644E-11 targ= 5.0460E-09 trig= 5.0293E-09

tpdr= 5.4428E-11 targ= 6.4428E-11 trig= 1.0000E-11

tpdf= 1.4850E-12 targ= 5.0315E-09 trig= 5.0300E-09

tpd= 2.7957E-11

八、设计两位数值比较器原理

1、两位数值比较器原理

要比较两位数值比较A=AIA0和B=B1B0,,比较结果用L、G、M表示,且A>B 时M=1,A=B时G=1,A

(1)若B1>A1,即B>A,L=1、G=0、M=0;

(2)若B1=A1,若B0>A0,即B>A,L=1、G=0、M=0;

(3)若B1=A1,若B0=A0,即B=A,G=0,L=0,M=0;

(4)若B1

(5) 若B1

两位数值比较的真值表如图1.4

A1 B1 A0 B0 L G M

< ? 1 0 0

= < 1 0 0

= = 0 1 0

> ? 0 0 1

= > 0 0 1

图1.4 两位数值比较真值表

由上表可经过计算得到逻辑表达式如下:

M= A00B( A1⊙B1)+A11B(B

A B0(A1⊙B1)+ 1A B1 (B>A)

L=0

G=(A1⊙B1) (A0⊙B0) (B=A)

2、原理框图

分析2位数的比较,先比较最高位,最高位大的那个数大,当最高位相同时比较次高位,次高位大的那个数大,当最高位和次高位相同时,两个数相等。由此得到数值比较器的原理框图如图1.5所示: 其中 我们设数值A=AIA0 数值 B=B1B0

图1.4 两位输入比较流程图 3、两位逻辑电路图

4、S-Edit 绘制电路图

九、设计两位数值比较器过程

1、网表文件

首先在S-Edit中将上述原理图绘制出,仿真后确保电路图正确且能够实现四输入与非功能,然后生成网表文件。在文本文档中写出HSPICE软件所要求的网表文件,并另存为*.sp文件。

网表文件如下:

*liangweibijiaoqi

.OPTIONS LIST NODE POST

.TRAN 20P 50N

Vdd Vdd 0 5

MN1 14 15 Gnd 0 NCH L=1U W=20U

MN2 33 1 Gnd 0 NCH L=1U W=20U

MN3 34 31 Gnd 0 NCH L=1U W=20U

MN4 13 14 33 0 NCH L=1U W=20U

MN5 3 2 36 0 NCH L=1U W=20U

MN6 36 15 Gnd 0 NCH L=1U W=20U

MN7 25 32 34 0 NCH L=1U W=20U

MN8 2 1 Gnd 0 NCH L=1U W=20U

MN9 27 30 40 0 NCH L=1U W=20U

MMN1 6 8 Gnd 0 NCH L=1U W=20U

MN10 28 25 39 0 NCH L=1U W=20U

MMN2 6 4 Gnd 0 NCH L=1U W=20U

MN11 39 27 Gnd 0 NCH L=1U W=20U

MN12 40 29 Gnd 0 NCH L=1U W=20U MMN3 5 6 Gnd 0 NCH L=1U W=20U MN13 30 31 Gnd 0 NCH L=1U W=20U MMN4 17 18 Gnd 0 NCH L=1U W=20U MMN5 18 16 Gnd 0 NCH L=1U W=20U MN14 24 28 Gnd 0 NCH L=1U W=20U MMN6 18 20 Gnd 0 NCH L=1U W=20U MN15 9 11 38 0 NCH L=1U W=20U MN16 32 29 Gnd 0 NCH L=1U W=20U MN17 11 12 Gnd 0 NCH L=1U W=20U MN18 35 13 Gnd 0 NCH L=1U W=20U MN19 12 3 35 0 NCH L=1U W=20U MN20 38 10 Gnd 0 NCH L=1U W=20U MN21 8 9 Gnd 0 NCH L=1U W=20U MN22 21 11 37 0 NCH L=1U W=20U MN23 37 26 Gnd 0 NCH L=1U W=20U MN24 20 21 Gnd 0 NCH L=1U W=20U MN25 23 11 41 0 NCH L=1U W=20U MN26 41 24 Gnd 0 NCH L=1U W=20U MN27 22 23 Gnd 0 NCH L=1U W=20U MN30 10 25 Gnd 0 NCH L=1U W=20U MN31 26 27 Gnd 0 NCH L=1U W=20U MN28 4 3 Gnd 0 NCH L=1U W=20U MN29 16 13 Gnd 0 NCH L=1U W=20U MP20 9 10 Vdd Vdd PCH L=1U W=40U MP21 8 9 Vdd Vdd PCH L=1U W=40U MP22 21 11 Vdd Vdd PCH L=1U W=40U MP23 21 26 Vdd Vdd PCH L=1U W=40U MP24 20 21 Vdd Vdd PCH L=1U W=40U MP25 23 11 Vdd Vdd PCH L=1U W=40U MP26 23 24 Vdd Vdd PCH L=1U W=40U MP27 22 23 Vdd Vdd PCH L=1U W=40U MP1 14 15 Vdd Vdd PCH L=1U W=40U MP2 13 1 Vdd Vdd PCH L=1U W=40U MP3 25 31 Vdd Vdd PCH L=1U W=40U MP4 13 14 Vdd Vdd PCH L=1U W=40U MP5 3 2 Vdd Vdd PCH L=1U W=40U MP6 3 15 Vdd Vdd PCH L=1U W=40U MP7 25 32 Vdd Vdd PCH L=1U W=40U MP8 2 1 Vdd Vdd PCH L=1U W=40U MP9 27 30 Vdd Vdd PCH L=1U W=40U MMP1 7 4 Vdd Vdd PCH L=1U W=40U MMP2 6 8 7 Vdd PCH L=1U W=40U MMP3 5 6 Vdd Vdd PCH L=1U W=40U

MMP4 17 18 Vdd Vdd PCH L=1U W=40U

MMP5 18 20 19 Vdd PCH L=1U W=40U

MMP6 19 16 Vdd Vdd PCH L=1U W=40U

MP10 28 25 Vdd Vdd PCH L=1U W=40U

MP11 28 27 Vdd Vdd PCH L=1U W=40U

MP12 27 29 Vdd Vdd PCH L=1U W=40U

MP13 30 31 Vdd Vdd PCH L=1U W=40U

MP14 24 28 Vdd Vdd PCH L=1U W=40U

MP15 9 11 Vdd Vdd PCH L=1U W=40U

MP16 32 29 Vdd Vdd PCH L=1U W=40U

MP17 11 12 Vdd Vdd PCH L=1U W=40U

MP18 12 13 Vdd Vdd PCH L=1U W=40U

MP19 12 3 Vdd Vdd PCH L=1U W=40U

MP30 10 25 Vdd Vdd PCH L=1U W=40U

MP31 26 27 Vdd Vdd PCH L=1U W=40U

MP28 4 3 Vdd Vdd PCH L=1U W=40U

MP29 16 13 Vdd Vdd PCH L=1U W=40U

V2 1 0 PULSE .2 4.8 2.5N .5N .5N 3N 8N

V3 15 0 PULSE .2 4.8 1N .5N .5N 3N 8N

V4 29 0 PULSE .2 4.8 1.5N .5N .5N 3N 8N

V5 31 0 PULSE .2 4.8 2N .5N .5N 3N 8N

.measure tran tf trig v(5) val=4.5 fall=1 targ v(5) val=0.5 fall=1 .measure tran tr trig v(5) val=0.5 rise=1 targ v(5) val=4.5 rise=1 .measure tran tpdr trig v(1) val=2.5 rise=1 targ v(5) val=2.5 fall=1 .measure tran tpdf trig v(1) val=2.5 fall=1 targ v(5) val=2.5 rise=1 .measure tpd param='(tpdr+tpdf)/2'

.MODEL PCH PMOS LEVEL=1

.MODEL NCH NMOS LEVEL=1

.END

2、打开网表文件仿真

Results Browser工作视窗

图形视窗画面中的波形显示

3、仿真分析(延时分析)

将以下语句导入之前的网表文件:

.measure tran tf trig v(5) val=4.5 fall=1 targ v(5) val=0.5 fall=1 .measure tran tr trig v(5) val=0.5 rise=1 targ v(5) val=4.5 rise=1 .measure tran tpdr trig v(1) val=2.5 rise=1 targ v(5) val=2.5 fall=1

.measure tran tpdf trig v(1) val=2.5 fall=1 targ v(5) val=2.5 rise=1

.measure tpd param='(tpdr+tpdf)/2'

结果如下:

******

*liangweibijiaoqi

****** transient analysis tnom= 25.000 temp= 25.000

******

tf= 3.1894E-11 targ= 2.9612E-09 trig= 2.9293E-09

tr= 3.4447E-11 targ= 1.4590E-09 trig= 1.4246E-09

tpdr= 1.9528E-10 targ= 2.9453E-09 trig= 2.7500E-09

tpdf= -4.8149E-09 targ= 1.4351E-09 trig= 6.2500E-09

tpd= -2.3098E-09

十、课程设计总结

通过这次课程设计,我学会了安装Hspice和Tanner Tools Pro软件,学会了用网表文件来描述模拟电路,也熟悉了HSPICE内部元件库,对半导体集成电路中的MOS基本逻辑门电路有了更深的认识,课本上只讲了很简单的逻辑门电路,通过这次课程设计对复杂的CMOS逻辑门电路有了更深的认识,也感觉到它们没那么难,四位输入逻辑与非门有四个PMOS和四个NMOS,电路相对简单,但两位数值比较器有70多个MOS管,电路连接复杂,最主要的是给电路连线写端口很麻烦,一不小心就重名了或漏写了,这种错误很严重,会严重影响后续工作,我们刚开始写了20多个端口,后面发现有些端口没标,也有些重名了,通过仔细检查和重新标注才解决了这个问题,通过这次课程设计我了解了这两个软件进行逻辑功能仿真和进行延时仿真,感觉这两个软件很神奇,很有用,在以后的学习过程中要更多的应用一些软件。根据数电书上的内容,我们自己设计了两位数值比较器逻辑电路图,后面发现逻辑错了,在修改的过程中,大家一起验证A1A0B1B0从0000到1111的逻辑值,通过小组一起讨论我们将逻辑修改合适了。

兰州交通大学继电保护课程设计

eainthea cci denti nvestigation,manageme ntandr eporti ng,ea chpost shoul dbe devel ope dunderthissystemspecialistsche ck,cl eartheexaminati ons,time,cy clesa ndot herreleva ntregulations.Stre ngthe ning sitesupervisi ona ndexami nation,t odete ctandinvestigateill egalcomma nd,i llegaloperationsandviol ationsofoperati ngrules.Secondsafetyreferstothe producti onsite,technologymanag ement,e qui pment,facilit ie s,andsoonca nleadt oaccidentsrisk sexist.1,a ccordi ngtotheexte ntofthese curityri sks,solvingi sdivi dedi ntoa,b,andcl evelsofdifficulty;A-l evel:difficult,mini ngdifficultie s,shallbereportedtothecompany'sproblems.B -cla ss:difficulttoresolvedif iculties,shall consistofmini ngorganizationstosolve probl ems.C -cla ss:fromsegmentsa ndbusine ssriskst hatmustbeaddresse dint heDe partment.2,open -pitmine unsafetypesi ncl ude :ele ctrical,transport,blasti ng,fire,a ndothersl ope.3,a ccordingt othesev erityoftheha zardfille dinbyunittroubl eshooti ng,registra tionform(seeatt 继电保护原理课程设计报告 评语: 考 勤 (10) 守 纪 (10) 设计过程 (40) 设计报告 (30) 小组答辩 (10) 总成绩 (100) 专 业: 电气工程及其自动化 班 级: 电气1004 姓 名: 阮学刚 学 号: 指导教师: 任丽苗 兰州交通大学自动化与电气工程学院 2013 年7月 18日

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

兰州交通大学毕业设计(论文)规范要求

兰州交通大学毕业设计(论文)规范要求 1、毕业论文组成论文由封面、毕业设计(论文)成绩评议表、毕业设计(论文)任务书、开题报告、中期检查、结题验收、中文摘要、英文摘要、目录、正文、参考文献、附录十二部分组成。各种部分的格式详见附录;(1)封面:封面包括论文题目、学生姓名、班级等,格式详见附1;(2)成绩评议表:包括论文评语、论文成绩,由答辩委员会填写,格式详见附2;(3)任务书:由指导教师填写,在布置毕业设计时发给学生,格式详见附3; (4)开题报告:学生认真书写后交指导教师检查,经指导教师签字有效,格式详见附4;(5)中期报告:由学生认真书写,指导教师签字后有效,格式详见附5;(6)结题验收:由学生认真书写,指导教师签字后有效,格式详见附6;(7)目录:按三级标题编写,要求层次清晰,主要包括摘要、正文主要层次标题、参考文献、附录等;(8)摘要:中文摘要应在400 字左右,包括论文题目、论文摘要、关键词(3至5个),英文要与中文摘要内容要对应; (9)正文:论文正文包括绪论(或前言、概述等)、论文主体、结论。工科论文要求符合 科技论文格式,正文文字应在15000字以上;(10)参考文献:必须是学生本人真正阅读过的,以近期发表的杂志类文献为主,图书类文献不能过多,且要与论文内容直接相关;(11)附录:含外文复印件及外文译文、有关图纸、计算机源程序,如果有毕业实习,需提 供毕业实习报告等。2、毕业论文的格式要求(1)毕业论文要统一用a4(210mm×197mm)标准纸打印装订(左装订)成册,正文用宋或楷体小四号字,版面上空 2.5 cm,下空 2 cm,左右空2 cm(靠装订纸一侧增加0.5 cm空白用于装订)。题目用三号(分两行书写时用 小三号)黑体字;题序和标题用四号黑体字。(2)论文中所涉及到的全部附图,不论计算 机绘制还是手工绘制,都应规范化,符号符合国颁标准。(3)学生完成毕业设计(论文)后,打印一份在xx年9月15日以前交指导教师评阅,进行结题验收。毕业设计 (论文)题目:学院:继续教育学院专业:自动化姓名:学号: 指导教师:xx年 9月 1 5日 5 毕业设计成绩评议表 学生姓名 班级指导教师姓名职称审阅人评语审阅人:年月日答辩委员会综合评语主席: 年月日论文成绩毕业设计(论文)任务书班级: 学生姓名:指导老师: 设计(论文)题目 主要研究内容关键环节计划进度参考资料开题报告班级: 学生姓名:指导老师: 设计(论文)题目

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

电子综合课程设计报告

课程设计任务书姓名学号 班级学院 课程电子技术综合 题目简易信号发生器和简易频率计 设计任 务 1.设计一个的正弦波、方波和三角波发生器: (1) 频率可调范围:2Hz—20KHz,分为4档: 2—20Hz;20—200Hz;200Hz—2KHz;2—20KHz; (2) 幅度可调范围:0—5V; (3) 可调偏置。 2.设计一个简易数字频率计: (1) 可测量信号频率范围:1~100 KHz,显示单位为Hz; (2) 输入电压幅度VPP:100mV—10V; (3) 输入信号波形:任意周期信号; (4)显示方式: 6位十进制数显示。 时间进 度第17、18周 2010.12.27-2011.1.7 星期一、二布置设计方案、预设计及验收星期三、四、五计算机仿真及仿真结果验收星期一上午发放元器件、领取工具 星期一下午焊接 星期二、三、四安装、调试、教师验收 星期周五打印图纸、写设计报告 主要参考资料1.康华光。电子技术基础数字部分(第五版)。北京:高等教育出版社,2006; 2.康华光。电子技术基础模拟部分(第五版)。北京:高等教育出版社,2006; 3.电子技术(下)实验指导书,中原工学院电子技术课程组自编,2011;

目录 一、摘要 (2) 二、设计原理 (3) 2.1 简易信号发生器的基本原理 (3) 2.2 数字频率计的基本原理 (5) 三、方案设计 (9) 四、电路仿真 (10) 4.1 简易信号发生器电路仿真 (10) 4.2 数字频率计 (15) 五、电路焊接与调试 (17) 六、心得体会 (20) 附录一:参考文献 (22) 附录二:元器件表 (23) 附录三:原理图 (28)

芯片设计和生产流程

芯片设计和生产流程 大家都是电子行业的人,对芯片,对各种封装都了解不少,但是你 知道一个芯片是怎样设计出来的么?你又知道设计出来的芯片是 怎么生产出来的么?看完这篇文章你就有大概的了解。 复杂繁琐的芯片设计流程 芯片制造的过程就如同用乐高盖房子一样,先有晶圆作为地基,再层层往上叠的芯片制造流程后,就可产出必要的IC芯片(这些会在后面介绍)。然而,没有设计图,拥有再强制造能力都没有用,因此,建筑师的角色相当重要。但是IC设计中的建筑师究竟是谁呢?本文接下来要针对IC设计做介绍。 在IC生产流程中,IC多由专业IC设计公司进行规划、设计,像是联发科、高通、Intel等知名大厂,都自行设计各自的IC芯片,提供不同规格、效能的芯片给下游厂商选择。因为IC是由各厂自行设计,所以IC设计十分仰赖工程师的技术,工程师的素质影响着一间企业的价值。然而,工程师们在设计一颗IC芯片时,究竟有那些步骤?设计流程可以简单分成如下。

设计第一步,订定目标 在IC设计中,最重要的步骤就是规格制定。这个步骤就像是在设计建筑前,先决定要几间房间、浴室,有什么建筑法规需要遵守,在确定好所有的功能之后在进行设计,这样才不用再花额外的时间进行后续修改。IC设计也需要经过类似的步骤,才能确保设计出来的芯片不会有任何差错。 规格制定的第一步便是确定IC的目的、效能为何,对大方向做设定。接着是察看有哪些协定要符合,像无线网卡的芯片就需要符合IEEE802.11等规範, 不然,这芯片将无法和市面上的产品相容,使它无法和其他设备连线。最后则是

确立这颗IC的实作方法,将不同功能分配成不同的单元,并确立不同单元间连结的方法,如此便完成规格的制定。 设计完规格后,接着就是设计芯片的细节了。这个步骤就像初步记下建筑的规画,将整体轮廓描绘出来,方便后续制图。在IC芯片中,便是使用硬体描述语言(HDL)将电路描写出来。常使用的HDL有Verilog、VHDL等,藉由程式码便可轻易地将一颗IC地功能表达出来。接着就是检查程式功能的正确性并持续修改,直到它满足期望的功能为止。 ▲32bits加法器的Verilog范例。 有了电脑,事情都变得容易 有了完整规画后,接下来便是画出平面的设计蓝图。在IC设计中,逻辑合成这个步骤便是将确定无误的HDL code,放入电子设计自动化工具(EDA tool),让电脑将HDL code转换成逻辑电路,产生如下的电路图。之后,反

兰州交通大学毕业设计格式规范要求.doc

兰州交通大学毕业设计格式规范要求 1、组成 由封面、()成绩评议表、设计()任务书、、中期检查、结题验收、中文摘要、英文摘要、目录、正文、、附录十二部分组成。各种部分的格式详见附录; (1)封面:封面包括题目、学生姓名、班级等,格式详见附1; (2)成绩评议表:包括评语、成绩,由委员会填写,格式详见附2; (3)任务书:由指导教师填写,在布置毕业设计时发给学生,格式详见附3; (4)开题报告:学生认真书写后交指导教师检查,经指导教师签字有效,格式详见附4; (5)中期报告:由学生认真书写,指导教师签字后有效,格式

详见附5; (6)结题验收:由学生认真书写,指导教师签字后有效,格式详见附6; (7)目录:按三级标题编写,要求层次清晰,主要包括摘要、正文主要层次标题、参考文献、附录等; (8)摘要:中文摘要应在400字左右,包括题目、摘要、关键词(3至5个),英文要与中文摘要内容要对应; (9)正文:正文包括绪论(或前言、概述等)、主体、结论。工科要求符合科技格式,正文文字应在15000字以上; (10)参考文献:必须是学生本人真正阅读过的,以近期发表的杂志类文献为主,图书类文献不能过多,且要与内容直接相关; (11)附录:含外文复印件及外文译文、有关图纸、计算机源程序,如果有毕业,需提供毕业等。

2、的格式要求 (1)毕业要统一用A4(210mm 197mm)标准纸打印装订(左装订)成册,正文用宋或楷体小四号字,版面上空2.5cm,下空2cm,左右空2cm(靠装订纸一侧增加0.5cm空白用于装订)。题目用三号(分两行书写时用小三号)黑体字;题序和标题用四号黑体字。 (2)中所涉及到的全部附图,不论计算机绘制还是手工绘制,都应规范化,符号符合国颁标准。 (3)学生完成毕业设计()后,打印一份在2012年9月15日以前交指导教师评阅,进行结题验收。

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

兰州交通大学单片机课设流水灯

单片机原理及系统课程设计 专业:电气工程及其自动化 班级 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2013 年 3 月 7 日

基于单片机的流水灯设计 摘要 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能集成到一块硅片上构成的一个小而完善的计算机系统。 本设计是以AT89C51单片机为彩灯控制方案,充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8组LED霓虹灯的左、右循环显示,并实现循环的速度可调。本方案以嵌入式方式为基础,软硬件相结合,运用proteus,visio和仿真软件keil完成设计。本设计优点是实际应用效果好,亮灯花样好,与其他彩灯相比体积小、件硬少、价格低、低能耗、电路结构简单及容易操作和阅读。在现代社会中,彩灯具有广泛的发展天地。 关键字:AT89C51;单片机;LED流水灯 Abstract MCU is a kind of integrated circuit chip, It is the use of large scale integrated ciruit technology has the ability to handle data central processor CPU RAM random access memory, read-only memory ROM, a variety of I/O port and interrupt system, timer / timer functions are integrated into one small but perfect computer system consisting of a silicon chip. The design is based on AT89C51 MCU as the lights control scheme, make full use of the 8051 chip I/O pin. System uses MCS-51 series single-chip microcomputer Intel8051 as the center to design LED water lamp system, the realization of the 8 group LED neon light left, right circular display, and realize the circulation speed adjustable. The scheme is based on the embedded mode, the combination of software and hardware, the use of Proteus, Visio and simulation software keil to complete the design. This design has the advantages of good actual application effect, good lighting pattern, compared with other lights of small size, low price, small pieces of hard, low energy consumption, simple circuit structure and easy to operate and reading. In modern society, with the development of world wide lantern. Keywords: AT89C51,MCU,LED water lamp

红外线计件器课程设计报告书(DOC)

课程设计任务书 题目红外计件器 系(部) 信息科学与电气工程学院 专业自动化 班级101 学生姓名崔保昌 学号100819622 6 月11 日至 6 月15 日共 1 周 指导教师(签字) 系主任(签字) 2012年 6 月17日

数码管显示模块 红外检测模块计数器模块 失落脉冲检测模块蜂鸣器模块 四、进程安排 教学内容学时地点 资料查阅与学习讨论 1天现代电子技术实验室分散设计 2天现代电子技术实验室

摘要 随着科学技术的迅速发展,红外计件器已被应用于生活中的方方面面。如生产流水线,数据采集生产工位的工作量计数统计,停车场车位计数,旅游景点、超市、娱乐场所等的人数自动统计,工厂自动化控制系统等。 本文设计并制作一个简易的红外计件器,当“货物”从收发模块经过后,数码管显示数值加1;当有“货物”通过红外检测电路时,红外检测电路输出检测脉冲,若2 秒内没有“物”通过红外收发模块,即红外检测电路输出脉冲失落时,蜂鸣器报警;计件过程中,可通按键随时清除计数数据。 关键词:红外检测,NE555,计数模块,蜂鸣报警

Abstract Along with the rapid development of science and technology, the infrared piece has been used in all aspects of life. Such as production line, data collection production location count workload statistics, parking lot counter, tourist attractions, the supermarket, the number of entertainment to statistic, factory automation control system, etc. In this paper a simple design and production of the infrared piece, when "goods" from transceiver module after, digital pipe display numerical add 1; When there is "goods" through the infrared detection circuit, infrared detection circuit testing pulse output, if 2 seconds no "the thing" through the infrared transceiver module, namely the infrared detection circuit output pulse lose, a buzzer alarm; In the process of piece, can connect button clear count data at any time Keywords: infrared detection, NE555, counting module, hum, call the police

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

兰州交通大学课程设计

兰州交通大学 课程设计 中文题目:基于CWDM的城域网设计方案 英文题目:Metropolitan Area Network Design Scheme Based on CWDM 课程: 学院: 专业: 姓名: 学号: 指导教师: 二零一四年七月

摘要 粗波分复用系统(CWDM)能有效节省光纤资源和组网成本,它解决了光纤短缺和多业务透明传输两个问题,主要应用在城域网汇聚和接入层,且可在短时间内建设网络及开展业务。CWDM具有低成本、低功耗、小体积等诸多优点,目前在城域网传输中已经有大量应用。运用于G.652、G.653、G.655光纤的EXP系列CWDM设备,给各大运营商和系统集成商提供了一套低价格、高性能的传输解决方案,是日益增长的城域网组网的理想选择。 CWDM系统组网方式灵活多样,可以组成点对点、星形、链形、环形等各种拓扑结构,对于竞争区域的运营商有着比较大的吸引力。目前CWDM在行业市场上已经得到了愈来愈多的应用。 关键词:CWDM系统;城域网;组网

Abstract Coarse Wavelength Division Multiplexing system (CWDM)can save the cost of fiber resources and networking, it addresses the shortage of fiber and multi-service transparent transmission of two issues, mainly used in metro aggregation and access layer, and in short time network and conduct business within the building. CWDM low cost, low power consumption, small size and many other advantages, the current transmission in the metropolitan area has a large number of applications.Shenzhen, Hong Yang Shun Technology Co, Ltd. Branch of market requirements, develop applied G.652, G.653, G.655 fiber EXP series CWDM equipment, to the major operators and system integrators to provide a low price , high-performance transmission solutions, is growing ideal for metropolitan area networks. CWDM system, flexible networking mode, you can form point to point, star, chain, ring topology, etc, for the regional competition with larger operators in the appeal. CWDM in the industry currently on the market has been more and more applications. Keywords: CWDM system;Metropolitan Area Networks; Networking

电子技术课程设计典型题目

附录 电子技术课程设计典型题目 可编程直流电源设计 简要说明: 在自动控制系统中,有时需要一种由计算机指令设置输出电压大小的直流稳压电源,通常将这种电源称为可编程直流稳压电源。它的输出电压V O 与计算机送到特定地址的数据N 之函数关系是 kN V O = 其中k 是比例系数。 计算机的输出指令可用数码锁存器代替。 为了避免因稳压电路故障而损坏计算机,可用光电耦合器实现电隔离。 设计任务和要求: 1.当数码寄存器给出一个数据N 后,该电源的输出电压值为 N V O 10 1= 其中N 是8位的BCD 码数据,即N 是小于100的正整数或零,V 0的单位为伏特。 2.在数码寄存器送出新的数据以前,该电源的输出电压变化量之绝对值不超过20mV ,其条件是: ⑴ 交流电网电压的有效值在190V 至250V 范围内; ⑵ 输出电流在0至100mA 范围内; ⑶ 环境温度在100C 至350C 范围内; 3.输出电压的实际值与按N V O 10 1=计算得出的理论值之误码差的绝对值不超过 0.1V ; 温度测量与控制电路设计 1.设计任务与要求 在工农业生产和科学研究中,经常需要对某一系统的温度进行测量,并能自动地控制、调节该系统的温度。 要求: ⑴ 被测温度和控制温度均可数字显示; ⑵ 测量温度为0~1200C ,精度为±0.50C ; ⑶ 控制温度连续可调,精度±1O C ; ⑷ 温度超过额定值时,产生声、光报警信号。 2.总体方案设计 设计思路 (1)对温度进行测量、控制并显示,首先必须将温度的度数(非电量)转换成电量,然后采用电子电路实现题目要求。可采用温度传感器,将温度变化转换成相应的电信号,并通过放大、滤波后送A/D 转换器变成数字信号,然后进行译码显示。 (2)恒温控制:将要控制的温度所对应的电压值作为基准电压V REF ,用实际测量值I v 与V REF 进行比较,比较结果(输出状态)自动地控制、调节系统温度。 (3)报警部分:设定被控温度对应的最大允许值V max ,当系统实际温度达到此对应值V max 时,发生报警信号。

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

机械设计课程设计系列——兰州交通大学——二级斜齿圆柱齿轮减速器

兰州交通大学尚德、励志、博学、笃行 机械设计专业课程设计 说明书 设计题目:二级展开式斜齿轮减速器 学生姓名:(本人签名) 学生学号:20050601 学院机构:机电工程学院 专业班级:

目录 设计任务书…………………………………………………………… 1传动装置总图…………………………………………………………………… 2设计要求………………………………………………………………………… 3已知条件………………………………………………………………………… 一、电动机的选择……………………………………………………………… 二、分配传动比………………………………………………………………… 三、传动装置的运动和动力参数计算………………………………………… 四、传动零件的设计计算……………………………………………………… 五、轴的结构设计及强度计算…………………………………………………… (一)输入轴结构设计和强度计算……………………………………… (二)中间轴的结构设计………………………………………………… (三)输出轴的结构设计………………………………………………… 六、轴承寿命校核计算…………………………………………………………… 七、平键的强度校核…………………………………………………………… 八、箱体的基本参数………………………………………………………………设计小结……………………………………………………………………………参考资料…………………………………………………………………………… 设计任务书 1.传动装置总图

2.设计要求: 1)选择电动机类型和规格; 2)设计减速器和开式齿轮传动; 3)选择联轴类型和型号; 4)绘制减速器装配图和零件图; 5)编写设计说明书。 3.已知条件 1)输送机主轴功率P=4 Kw,输送机主轴转速n=110 r/min;2)输送机效率ηf=0.96,齿轮搅油效率ηf=0.98; 3)工作情况单向转速,连续工作,工作平稳;

数电课程设计心得题目汇总【模版】

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

集成电路设计答案 王志功版

第一章 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义? MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识? 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用? 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点? P10,11 3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触? 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子

兰州交通大学课程设计

兰州交通大学课程设计 题目直流稳压电源设计 班级电气工程及其自动化 学生姓名 学号 指导教师 完成日期

设计一个只流稳压电源 要求: 1:输出直流电压V0=12V+2V(10V~14V连续可调)误差≤0.1V 2:输出直流电流I0=200mA 3:环境温度100C~350C 4:交流电网220V+10%50HZ 内容摘要: 直流稳压电源即开关电源.电源作为电气、电子设备必不可少的能源供应部件,需求日益增加,而且对电源的功能、稳定性等各项指标也提出了更高的要求。它具有可靠性高,功率密度大,抗干扰能力强,广泛应用于数字电路中,工业仪表,交通运输,通讯设备.工控机等大型设备及科研与实验.用作直流供电电源.要在纹波和使用率上,有所效果。本设计采用串联型直流稳压电路。通过相关知识计算出各电路中各个器件的参数,使电路性能达到设计要求中的电压调整率,电流调整率,负载调整率,纹波电压等各项指标。 关键字:电源电路参数 Abstract: DC regulated power supply or switching power supply.Power as the electrical,electronic equipment,essential components of energy supply, increasing demand,but also the function of power and stability of the

indicators put forward higher requirements.It has high reliability,power density,anti-jamming ability,and is widely used in digital circuits, industrial instrumentation,transportation and communications equipment. IPC,such as large-scale equipment and scientific research and https://www.360docs.net/doc/6611685558.html,ed as a DC power supply.And utilization in the ripple, the effect has been.The design uses a series DC circuit.Calculated through the knowledge of the various devices in the circuit parameters, The circuit performance to meet the design requirements of the voltage regulation,current regulation,load regulation,ripple voltage indicators. Keywords:power circuit parameters 方案比较与论证: 直流稳压电源功能方框图 方案一:串联式直流稳压电源 方案二:三端集成稳压电路 论证:方案一结构简单,价格便宜;方案二结构可靠,但其价格较贵。根据实际需要及条件,我们选择第一方案进行设计。 各单元参数计算:

相关文档
最新文档