第11章 逻辑代数基础与组合逻辑电路[23页]

第11章 逻辑代数基础与组合逻辑电路[23页]
第11章 逻辑代数基础与组合逻辑电路[23页]

第11章逻辑代数基础与组合逻辑电路

【重点】

常用数制与码制、不同数制之间的转换。常用逻辑门的符号、表达式及逻辑关系;【难点】

数制之间的转换。逻辑关系。

11.1 数制与编码

11.1.1 数字信号

数字信号只有两个离散值(代表某种对应的逻辑关系),常用数字0和1来表示。

0和1只代表两种对立的状态,称为逻辑0和逻辑1,也称为二值数字逻辑。

数字信号是一种二值信号,用两个电平(高电平和低电平)分别来表示两个逻辑值(逻辑1和逻辑0)。正逻辑规定高电平为逻辑1,低电平为逻辑0。负逻辑规定低电平为逻辑1,高电平为逻辑0。

11.1.2 数制

数制是一种计数的方法,它是进位计数制的简称,也称为进制。采用何种计数方法应根据实际需要而定。

1.常用的几种进制

(1)十进制

十进制是以10为基数的计数制。在十进制中,有0、1、2、3、4、5、6、7、8、9十个数码,它的进位规律是逢十进一。

数码与权的乘积,称为加权系数,十进制数的数值为各位加权系数之和。

(2)二进制

二进制是以2为基数的计数制。在二进制中,只有0和1两个数码,它的进位规律是

逢二进一。

(3)八进制和十六进制

八进制是以8为基数的计数制。在八进制中,有0、1、2、3、4、5、6、7八个不同的数码,它的进位规律是逢八进一。

十六进制是以16为基数的计数制。在十六进制中,有0、1、2、3、4、5、6、7、8、9、A (10)、B (11)、 C (12)、D (13)、E (14)、F (15)十六个不同的数码,它的进位规律是逢十六进一。

2.不同数制间的转换 (1)各种数制转换成十进制

二进制、八进制、十六进制转换成十进制时,只要将它们按权展开,求出各加权系数的和(称为按权展开求和法),便得到相应进制数对应的十进制数。

(11010.011)2=1×24+1×23+0×22+1×21+0×20+0×2-1+1×2-2+1×2-3=(26.375)10

(4C2)16=4×162+12×161+2×160=(1218)10 (2)十进制转换为二进制

十进制数转换为二进制数时,由于整数和小数的转换方法不同,因此,需将整数部分和小数部分分别进行转换,再将转换结果合并在一起,就得到该十进制数转换的完整结果。

将十进制数的整数部分转换为二进制数采用“除基数,取余法,逆排列”的方法,即将整数部分逐次除2,依次记下余数,直到商为0。第一个余数为二进制数的最低位,最后一个余数为最高位。

将十进制数的小数部分转换为二进制数采用“乘基数,取整法,顺排列”的方法,即将小数部分逐次乘以2,取乘积的整数部分作为二进制数的各位。乘积的小数部分继续乘

i

i K N 8

i

8?=

∑+∞

-∞

=i

i K N 16

i

16?=

∑+∞

-∞

=

以2,直到乘积的小数部分为0或达到要求的精度为止。

(3)二进制与八进制、十六进制相互转换

二进制数转换为十六进制数的方法是:整数部分从低位开始,每四位二进制数为一组,最后不足四位的,则在高位加0补足四位;小数部分从高位开始,每四位二进制数为一组,最后不足四位的,在低位加0补足四位。然后每组二进制数用对应的十六进制数来代替,再按顺序排列得出结果。

二进制数转换为八进制数的方法与二进制数转换为十六进制数的方法相同,只是每三位二进制数为一组。

11.1.3 二进制代码

将若干个二进制数码0和1按一定规则排列起来表示某种特定含义的代码,称为二进制代码,或称二进制编码。

二-十进制码又称为BCD码是一种常用的二进制代码。

BCD码是用二进制数表示十进制数的0~9十个数。由于十进制数有十个不同的数码,因此,需用四位二进制数来表示。而四位二进制代码有16种不同的组合,从中取出10种组合来表示0~9十个数可有多种方案,所以BCD码也有多种。

(1)8421BCD码

这种代码每一位的权值是固定不变的,为恒权码。它取了四位自然二进制数的前10种组合,即0000~1001,从高位到低位的权值分别为8、4、2、1,所以称为8421BCD码。

(2)5421BCD码和2421BCD码

这两种也是恒权码,从高位到低位的权值分别是5、4、2、1和2、4、2、1。每组代码各位加权系数的和为其代表的十进制数。2421(A)码和2421(B)BCD码的编码状态不完全相同,2421(B)BCD码具有互补性,0和9、1和8、2和7、3和6、4和5这五

对代码互为反码。

(3)余3BCD码

这种代码没有固定的权,为无权码,它比8421BCD码多余3(0011),所以称为余3码。0和9、1和8、2和7、3和6、4和5这五对代码互为反码。

BCD码用四位二进制数表示的只是十进制数的一位,如果是多位,应先将每一位用BCD码表示,然后组合起来。

(4)格雷码

它是一种无权码。它的特点是任意两组相邻代码之间只有一位不同,其余各位都相同(即按照“相邻原则”进行编码),而0和最大数(2 n -1)之间也只有一位不同。因此,它是一种循环码。格雷码的这个特性使它在形成和传输过程中引起的误差较小。

11.2 基本逻辑运算

逻辑关系是指某事物的条件(或原因)与结果之间的关系。

11.2.1 基本逻辑运算

逻辑代数中只有三种基本运算与、或、非。

1.与运算

只有当决定一件事情的条件全部具备之后,这件事情才会发生,我们把这种因果关系称为与逻辑。

(1)可以用列表的方式表示上述逻辑关系,称为逻辑状态表。

(2)如果用二值逻辑0和1来表示,并设1表示开关闭合或灯亮,0表示开关不闭合或灯不亮,则得到逻辑真值表。

(3)若用逻辑表达式来描述,则可写为

与运算的规则为输入有0,输出为0;输入全1,输出才为1。

(4)在数字电路中能实现与运算的电路称为与门电路。

2.或运算

决定一件事情的几个条件中,只要有一个或一个以上条件具备,这件事情就会发生,我们把这种因果关系称为或逻辑。

或运算的规则为:输入有1,输出为1;输入全0,输出才为0。

在数字电路中能实现或运算的电路称为或门电路。

3.非运算

某事情发生与否,仅取决于一个条件,而且是对该条件的否定,即条件具备时事情不发生,条件不具备时事情才发生。

当开关A闭合时,灯不亮;而当开关A不闭合时,灯亮。

AB

Y=

B

A

Y+

=

非运算的规则为:输入1,输出为0;输入0,输出为1。

11.2.2 其他逻辑运算

任何复杂的逻辑运算都可以由三种基本逻辑运算组合而成。由三种基本逻辑运算组合 而成的逻辑运算称为组合逻辑运算。

与非运算

或非运算

与或非运算

异或运算和同或运算

异或运算:当两个变量取值相同时,逻辑函数值为0;当两个变量取值不同时,逻辑函数值为1。

A

Y =Y A B C D

=?+?

同或运算:当两个变量取值相同时,逻辑函数值为1;当两个变量取值不同时,逻辑函数值为0。

【重点】

逻辑代数基本公式,基本规则及其化简。

【难点】

逻辑代数化简。

11.3 逻辑代数及化简11.3.1 逻辑代数的基本公式

1.逻辑常量运算公式

2.逻辑变量、常量运算基本公式

0—1律

互补律重叠律交换律结合律分配律反演律吸收律

A

A=

1·A

A=

+00

0·=

A1

1=

+

A

=

A

A1

=

+A

A

A

AA=A

A

A=

+

BA

AB=A

B

B

A+

=

+

C

AB

BC

A)

(

)

(=C

B

A

C

B

A+

+

=

+

+)

(

)

(

AC

AB

C

B

A+

=

+)

()

)(

(C

A

B

A

BC

A+

+

=

+

B

A

AB+

=B

A

B

A=

+

A

B

A

A=

+)

(AB

B

A

A=

+)

(

)

)(

)(

(C

B

C

A

B

A+

+

+)

)(

(C

A

B

A+

+

=

对合律

【例】 证明

11.3.2 逻辑代数的基本规则

1.代入规则

对于任一个含有变量A 的逻辑等式,可以将等式两边的所有变量A 用同一个逻辑函数

替代,替代后等式仍然成立。这个规则称为代入规则。

利用代入规则,可以把基本定律加以推广。 2.反演规则

对任何一个逻辑函数式Y ,如果将式中所有的“·”换成“+”,“+”换成“·”,“0”换成 “1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,则得逻辑函数Y 的反函数。这种变换原则称为反演规则。

在应用反演规则时必须注意以下两点:

(1)保持变换前后的运算优先顺序不变,必要时可加括号表明运算的先后顺序。 (2)规则中的反变量换成原变量只对单个变量有效。 反演规则常用于求一个已知逻辑函数的反函数。 3.对偶规则

对任何一个逻辑函数式Y ,如果把式中的所有的“·”换成“+”,“+”换成“·”,“0”换成 “1”,“1”换成“0”,这样就得到逻辑函数Y 的对偶式Y ′。

变换时要注意保持变换前后运算的优先顺序不变。

对偶规则的意义在于若两个函数式相等,则它们的对偶式也一定相等。

11.3.3 逻辑表达式的化简

进行逻辑设计时,根据逻辑问题归纳出来的逻辑函数式往往不是最简逻辑函数式,并且可以有不同的形式。因此,实现这些逻辑函数就会有不同的逻辑电路。对逻辑函数进行化简和变换,可以得到最简的逻辑函数式和所需要的形式,设计出最简洁的逻辑电路。

最简与-或式的标准

(1)逻辑函数式中的乘积项(与项)的个数最少。 (2)每个乘积项中的变量数最少。

B A B A A +=+A

AB A =+C

A A

B B

C C A AB +=++A

A =C A A

B B

C C A AB +=++)(A A BC C A AB BC C A AB +++=++C

A A

B B

C A C AB BC

A ABC C A A

B +=+++=+++=)1()1(

运用逻辑代数的基本定律和公式对逻辑函数式进行化简的方法称为代数化简法(公式化简法)。

基本的化简方法有以下几种: (1)并项法 将两项合并为一项,同时消去一个变量。

(2)吸收法

运用吸收律消去多余的与项.。

(3)消去法

运用吸收律消去多余因子。

(4)配项法

在不能直接运用公式、定律化简时,可通过乘 或加入零项 进行

配项,再化简。

1

=+A A ()ABC ABC AB C C AB

+=+=B A B A A +=+C

A A

B B

C C A AB +=++()AB AB E F AB

++=B

A B A A +=+C

AB C AB AB C B A AB C B C A AB +=+=++=++)(1=+A A 0=A A )

(B B D C A C B AB D C A C B AB +++=++C

B AB AD

C B

D C AB D

C B A

D C AB C B AB +=+++=+++=)1()1(

【重点】

逻辑门电路功能、符号及应用。

【难点】

TTL与非门电路分析。

11.4 集成逻辑门电路

11.4.1 TTL集成逻辑门电路

1.TTL与非门电路的基本结构

输入全为高电平3.6V时:VT2、VT3导通,V B1=0.7×3=2.1V,从而使VT1的发射结因反偏而截止。此时VT1的发射结反偏,而集电结正偏,称为倒置工作状态。由于VT3饱和导通,输出电压为:U O=V CES3≈0.3V,这时V E2=V B3=0.7V,而V CE2=0.3V,故有V C2=V E2+ V CE2=1V。1V的电压作用于VT4的基极,使VT4和二极管D都截止。

输入有低电平0.3V时:VT1的基极电位被钳位到V B1=1V。VT2、VT3都截止。由于VT2截止,流过R C2的电流仅为VT4的基极电流,这个电流较小,在R C2上产生的压降也较小,可以忽略,所以V B4≈U CC=5V ,使VT4和D导通,则有U O≈U CC-V BE4-V D=5-0.7-0.7=3.6V

2.主要参数

(1)输出高电平和输出低电平

一般产品规定U OH≥2.4V,U OL≤0.4V。

(2)关门电压和开门电压

保证输出电压为额定高电平(2.7V)时,允许输入低电平的最大值,称为关门电压U OFF,一般U OFF≥0.8V。

保证输出电平达到额定低电平(0.3V)时,允许输入最高电平的最小值,称为开门电平U ON,一般U ON≤1.8V。

(3)噪声容限

低电平噪声容限是指在保证输出为高电平的前提下,允许叠加在输入低电平U IL上的最大正向干扰电压。用U NL表示。即U NL=U OFF-U IL

高电平噪声容限是指在保证输出为低电平的前提下,允许叠加在输入低电平U IH上的最大正向干扰电压。用U NH表示。即U NH=U IH-U ON。

(4)输入短路电流

当输入电压为零时,流经这个输入端的电流称为输入短路电流。输入短路电流的典型值为-1.5 mA。

(5)扇出系数

扇出系数表示输出端最多能驱动同类门的个数,它反映了与非门的最大负载能力,通常N≥8,一般取8~10。

3.TTL门电路的其他类型

(1)集电极开路门(OC门)

在工程实践中,有时需要将几个门的输出端并联使用,以实现与逻辑,称为线与。

OC门主要有以下几方面的应用

实现线与。

实现电平转换。用作驱动器。

CD

AB

CD

AB

Y

Y

Y+

=

?

=

?

=

2

1

(2)三态输出门

三态输出门(简称三态门)除具有一般门电路的输出高、低电平两种状态外,还呈现高阻状态。

当EN =0时,三态门相当于一个正常的二输入端与

Y ,称为正常工作状态。当EN=1

非门,输出AB

时,这时从输出端Y看进去,对地和对电源都相当于开

路,呈现高阻状态,所以称这种状态为高阻态或禁止态。

EN=0时为正常工作状态的三态门称为低电平有效的三

态门。如果使能端EN =1时为正常工作状态,EN =0时

为高阻态,这种三态门称为高电平有效的三态门。

三态门的应用

单向总线,可实现信号的分时传送。

双向总线。实现信号的分时双向传送。

11.4.2 CMOS集成逻辑门电路

1.CMOS集成逻辑门电路的系列

CMOS集成逻辑门电路主要有以下几个系列:

(1)基本的CMOS—4000系列

工作电源电压范围为3~18 V,由于具有功耗低、噪声容限大、扇出系数大等优点,

已得到普遍使用。缺点是工作速度较低,平均传输延迟时间为几十纳秒,最高工作频率小于5 MHz。

(2)高速的CMOS—HC(HCT)系列

该系列电路主要从制造工艺上做了改进,使其大大提高了工作速度,平均传输延迟时间小于10 ns,最高工作频率可达50 MHz。HC系列的电源电压范围为2~6 V。HCT系列的主要特点是与TTL器件电压兼容,它的电源电压范围为4.5~5.5 V,输入电压参数为U IH(min)=2.0 V,U IL(max)=0.8 V,与TTL完全相同。另外,74HC/HCT系列与74LS系列产品只要最后三位数字相同,则两种器件的逻辑功能、外形尺寸、引脚排列顺序也完全相同。

(3)先进的CMOS—AC(ACT)系列

该系列的工作频率得到了进一步的提高,同时保持了CMOS超低功耗的特点。其中ACT系列与TTL器件电压兼容,电源电压范围为4.5~5.5 V。AC系列的电源电压范围为1.5~5.5 V。AC(ACT)系列的逻辑功能、引脚排列顺序等都与同型号的HC(HCT)系列完全相同。

2.CMOS集成逻辑门电路的主要参数

(1)输出高电平U OH与输出低电平U OL

U OH的理论值为电源电压U DD,U OH(min)=0.9U DD;U OL的理论值为0V,U OL(max)=0.01U DD。所以CMOS门电路的逻辑摆幅较大,接近电源电压U DD值。

(2)抗干扰容限

CMOS非门的高、低电平噪声容限均达0.45U DD。其他CMOS门电路的噪声容限一般也大于0.3U DD。

(3)扇出系数

因CMOS集成逻辑门电路有极高的输入阻抗,故其扇出系数很大,一般额定扇出系数可达50。若就灌电流负载能力和拉电流负载能力而言,CMOS集成逻辑门电路远远低于TTL集成逻辑门电路。

【重点】

组合逻辑电路的分析及设计。

【难点】

组合逻辑电路的设计。

11.5 组合逻辑电路分析与设计

描述组合逻辑电路逻辑功能的方法主要有真值表、逻辑表达式(函数式)、波形图、卡诺图和逻辑图等。组合逻辑电路的分析主要是根据给定的逻辑图,找出输出信号与输入信号间的关系,从而确定它的逻辑功能。组合逻辑电路的设计主要是根据给出的实际问题,求出能实现这一逻辑要求的最简逻辑电路。

11.5.1 组合逻辑电路分析组

1.组合逻辑电路的基本分析过程

(1)根据给定的逻辑电路写出输出逻辑函数式。一般从输入端向输出端逐级写出各个门输出对其输入的逻辑函数式,从而写出整个逻辑电路的输出对输入变量的逻辑函数式。必要时,可进行化简,求出最简输出逻辑函数式。

(2)列出逻辑函数的真值表。将输入变量的状态以自然二进制数顺序的各种取值组合代入输出逻辑函数式,求出相应的输出状态,并填入表中,即得真值表。

(3)分析逻辑功能。通常通过分析真值表的特点来说明电路的逻辑功能。

2.分析举例

【例11-5】分析图示逻辑电路的功能。

在输入A 、B 、C 三个变量中,有奇数个1时,输出Y 为1,否则Y 为0。电路为三位判奇电路,又称为奇校验电路。

11.5.2 组合逻辑电路设计

1.组合逻辑电路基本设计方法 (1)分析设计要求,列出真值表

根据题意确定输入变量和输出函 数及它们之间的关系,然后将输入变量以自然二进制数顺序的各种取值组合排列,列出真值表。

(2)根据真值表写出输出逻辑函数式

找出真值表中输出为1的输入变量取值的组合,若输入变量取值为1时用原变量表示,输入变量取值为0时用反变量表示,这些变量相与可得到若干个与项,将这些对应函数为1的若干与项相或后,便得到输出逻辑函数表达式。

(3)对输出逻辑函数式进行化简

通常用代数法(或卡诺图法)对逻辑函数式进行化简。 (4)根据最简输出逻辑函数式画出逻辑图 2.设计举例

【例】 设计一个A 、B 、C 三人表决电路。当表决某个提案时,多数人同意,提案通 过,同时A 具有否决权。

设A 、B 、C 三个人表决同意提案时用1表示,不同意时用0表示;Y 为表决结果,提案通过用1表示,不通过用0表示,同时还应考虑A 具有否决权。

ABC

C AB C B A Y ++=AB

AC Y +=B

A Y ⊕=1C

B A B A

C B A C Y Y ⊕+=⊕⊕=⊕=)()(1ABC

C B A C B A C B A +++=

=

+

=

AB Y?

AC

AB

AC

【重点】

编码器、译码器功能,集成编码器、译码器应用。

【难点】

集成编码器、译码器应用。

11.6 编码器

编码是指将字母、数字、符号等信息编成一组二进制码。

11.6.1 键控8421BCD 编码器

左端的十个按键0S ~9S 代表输入的十个十进制数符号0~9,输入为低电平有效,即某一按键按下,对应的输入信号为0。输出对应的8421 BCD 码为四位码,所以有四个输出端。

当按下0S ~9S 任意一个键时,GS =1,表示有信号输入;当0S ~9S 均没按下时,GS =0,表示没有信号输入,此时的输出代码0000为无效代码。

由真值表写出各输出的逻辑表达式。

11.6.2 二进制编码器

用n位二进制代码对2n个信号进行编码的电路称为二进制编码器。

三位二进制编码器有八个输入端和三个输出端,所以常称为8线-3线编码器。

7

6

5

4

2

I

I

I

I

A=

7

6

3

2

1

I

I

I

I

A=

7

5

3

1

I

I

I

I

A=

11.6.3 优先编码器

优先编码器允许同时输入两个及两个以上的编码信号,编码器给所有的输入信号规定了优先顺序,当多个输入信号同时出现时,只对其中优先级最高的一个进行编码。

74148是一种常用的8线-3线优先编码器。

0I ~7I 为编码器输入端,低电平有效;0Y ~2Y 为编码器输出端,也为低电平有效,

即反码输出。

(1)EI 为使能输入端,低电平有效。当EI =0时,编码器工作。 (2)优先顺序为7I →0I ,即7I 的优先级最高。

(3)GS 为优先编码工作标志端,低电平有效。当EI =0且有优先码时,GS =0;无优先码时,GS =1。

(4)EO 为使能输出端,高电平有效。EO =1时,表示有优先码输入式编码器不工作。

11.7 译码器和数字显示

11.7.1 译码器

译码器:将输入代码转换成特定的输出信号。

假设译码器有n 个输入信号和N 个输出信号,如果N=2n ,就称为全译码器,常见的全译码器有2线-4线译码器、3线-8线译码器、4线-16线译码器等。

如果N <2n ,称为部分译码器。如二-十进制译码器(也称作4线-10线译码器)等。

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

组合逻辑电路实验

实验一基本门电路的功能和特性及组合逻辑电路实验(2学时) 实验目的及要求:掌握常用的集成门电路的逻辑功能与特性;掌握各种门电路的逻辑符号;了解集成电路的外引线排列及其使用方法;学习组合逻辑电路的设计及测试方法。 实验题目:部分TTL门电路逻辑功能验证及组合逻辑电路设计之全加器或全减器。 实验二数值比较器、数据选择器(3学时) 实验目的及要求:掌握数值比较器和数据选择器的逻辑功能;学习组合逻辑电路的设计及测试方法。用7486和7400、7404搭出一位数值比较器,画出其设计逻辑电路图,并验证它的运算;用74153选择器实现多数据表决器,要求3个输入中有2个或3个为1时,输出Y为高电平,否则Y为低电平。画出电路图并简述实现原理。用7400、7404、7432实现该多数表决器。 实验题目:组合逻辑电路设计之数值比较器和数据选择器 实验三计数器的应用(3学时) 实验目的及要求:掌握集成二进制同步计数器74161的逻辑功能;掌握任意进制计数器的构成方法;学习时序逻辑电路的设计及测试方法。用74161搭建一个60进制计数器电路,并将结果输出到7段数码管显示出来,画出其设计逻辑电路图并验证它的功能。 实验题目:时序逻辑电路设计之计数器的应用 74LS00: QUAD 2-INPUT NAND GATE

74LS04: HEX INVERTER 74LS32:Quad 2-Input OR Gates

74LS74: Dual Positive-Edge-Triggered D Flip-Flops with Preset, Clear and Complementary Outputs 74LS153: Dual 4-Input Multiplexer with common select inputs and individual enable inputs 74LS161: Synchronous 4-Bit Binary Counters

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

第4章组合逻辑电路教案

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

第11章 组合逻辑电路

- 59 - 第11章 组合逻辑电路 从本章开始介绍数字集成电路。数字电路或逻辑电路,可以分为组合逻辑电路和时序逻辑电路两类。本章介绍组合逻辑电路,下章介绍时序逻辑电路。门电路是数字电路的基本部件,集成门电路是数字集成电路的一部分,本章首先介绍常用的集成门电路。 组合逻辑电路种类很多,由于应用广泛,中规模集成电路和大规模集成电路都有产品供应,在此将介绍几种常见的组合逻辑电路。 11.1 集成基本门电路 门电路又称逻辑门,是实现各种逻辑关系的基本电路,是组成数字电路的基本部件,由于他既能完成一定的逻辑运算功能,又能像“门”一样控制信号的通断,门打开时,信号可以通过;门闭合时,信号不能通过,因此称为门电路或门逻辑。集成门电路是数字集成电路的一部分,它的产品种类很多,内部电路各异,对一般读者来说,只需将其视为具有某一逻辑功能的器件,对于内部电路可不必深究。 按逻辑功能的不同,门电路可分为很多种,其中实现或、与、非三种逻辑关系的或门电路、与门电路和非门电路是最基本的门电路。 (一)或门电路 1.定义:在决定某一事件的各种条件中,只要有一个或一个以上的条件具备,事件就会发生,符合这一规律的逻辑关系称为或逻辑。 2.电路图及符号 如11-1a 所示电路。只要开关A 和B 中有一个或一个以上闭合,灯F 就会亮。这里开关的闭合和灯亮之间的关系为或逻辑关系。 实现或逻辑关系的电路称为或门。反映在逻辑电路中则是输入和输出电位的高与低两种状态,因此,习惯上把电位的高与低称为高电平和低电平。为便于逻辑运算,分别用0与1来表示。若规定高电平为1,低电平为1,这种逻辑关系称为正逻辑,反之称为负逻辑,本书一律采用正逻辑。或门的逻辑符号如图11-1a 电路所示。F 是输出端,A 和B 是输入端。输入端的数量可以不止两个,输入和输出都只有高电平1和低电平0两种状态。或门反映的逻辑关系是:只要输入中有一个或一个以上为高电平,输出便为高电平。 3.逻辑表达式 F=A+B 4.运算规律 ?? ? ?? =+=+=+A A A A A A 110 图11-1 或逻辑和或门 b) 或门 a) 或逻辑

(完整版)第九章门电路及组合逻辑电路复习答案

第九章门电路及组合逻辑电路 一、填空题 1、 模拟信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 ______ 与 ______ 信号之间的对应 ________ 关系。(输出、输入、逻辑) 4、 最基本的三种逻辑运算是 _______ 、 ________ 、 _________ 。(与、或、非) 5、 逻辑等式三个规则分别是 _______ 、 ________ 、 _________ 。(代入、对偶、反演) 6 逻辑函数常用的表示方法有 _________ 、 ________ 和 __________ o (真值表、表达式、卡诺图、逻 辑图、波形图五种方法任选三种即可) 7、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 8、 半导体二极管 __________ 时,相当于短路; ______ 时,相当于开路。(导通、截止) 9、 半导体三极管作为开关元件时工作在 __________ 状态和 ___________ 状态。(饱和、截止) 10、 在逻辑门电路中,最基本的逻辑门是 _____ 、 ______ 和 ______ o (与门、或门、非门) 11、 与门电路和或门电路具有 _____ 个输入端和 _____ 个输出端。(多、一) 12、 非门电路是 ___ 端输入、 _______ 端输出的电路。(单、单) 13、 根据逻辑功能的不同特点,逻辑电路可分为两大类: _________ 和 ________ 。(组合逻辑电路、 、判断题 1、十进制数74转换为8421BC [码应当是(01110100) 8421 BCD 。 (V ) 2、 十进制转换为二进制的时候,整数部分和小数部分都要采用除 2取余法。(X ) 3、 若两个函数相等,贝尼们的真值表一定相同;反之,若两个函数的真值表完全相同,贝U 这两个 函数未必相等。(X ) 4、 证明两个函数是否相等,只要比较它们的真值表是否相同即可。 (V ) 时序逻辑电路) 14、组合逻辑电路主要是由 ____ 、 ____ 和 15、 (1) (10011011)2 ( )8 ( (2) (AE )16 ( ) 2 ( )8 (3) (125)10 ( ) 2 (4) (13.375)10 ( )2 答:(1) _三种基本逻辑门电路构成的。(与门、或门、非门) )16 答:233、9B 答:10101110 256 1111101 (2) 1101.011

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

第20章习题2-门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 A B F 1F 2F 3 (a) (b)

异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

数电练习_组合逻辑电路知识分享

数电练习2013_组合 逻辑电路

一、填空题 1.分析组合逻辑电路的步骤为:(1);(2); (3); (4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 2.在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此,在电路结构上一般由组合而成。 3.十六进制数(F6.A)的等值八进制数是(),等值二进制数是 (),十进制数(56)的8421BCD编码是(),等值二进制数是()。 4. 实现两个一位二进制数相加,产生一位和值及一位进位值,但不考虑低位来的进位位的加法器称为________;将低位来的进位位与两个一位二进制数一起相加,产生一位和值及一位向高位进位的加法器称为________。 5.在下图所示的 卡诺图中,函数 F至少用个 与非门实现。设 输入原、反变量都提供。

6. 已知某组合电路的输入A、B、C、D及输出F的波形如图所示,则F对A、 B、C、D的最简与或表达式为F=。 参考答案: 1. (1)由逻辑图写出个输出端逻辑表达式;(2)化简和变换各逻辑表达式;(3)列出真值表 2. 组合逻辑电路门电路 3. 366.5 / 11110110.1010 / 01010110 / 111000 4. 半加器全加器 5. 3个 6.C B + C A+ D C 二、选择题 1.图(a)-(c)的三幅波形图中,正确表达了脉冲信号的宽度是() 2. 下列逻辑代数运算错误的是()

A. A 00=? B. A +1=A C. A A =?1 D. A +0=A 3.下列函数中等于A 的是( ) A. A +1 B. A A + C. AB A + D. A (A +B ) 4. 由开关组成的逻辑电路如图所示,设开关接通为“1”,断开为“0”,电灯亮为“1”,电灯L 暗为“0”,则该电路为( ) A. “与”门 B. “或”门 C. “非”门 D. 以上各项都不是 5.若把某一全加器的进位输出接至另一全加器的进位输入,则可构成( ) A. 二位并行进位的全加器 B. 二位串行进位的全加器 C. 一位串行进位的全加器 D. 以上各项都不是 6. 逻辑电路的真值表如下所示,由此可写出其逻辑函数表达式为( )。 A. C AB C B A C B A F ++= B. C B B A F += C. C B C B A F += D. AC B F += A B C F A B C F 0 1 1 1

实验三组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。

相关文档
最新文档