具有自动乐曲演奏功能的电子琴设计说明

具有自动乐曲演奏功能的电子琴设计说明
具有自动乐曲演奏功能的电子琴设计说明

具有自动乐曲演奏功能的电子琴设计

先给出设计结果视频: 具有自动乐曲演奏功能的电子琴-视频实录

本文为本人于2012年下学期做的EDA数字系统设计,文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。

一、选题目的

电子设计自动化,简称EDA(Electronic Design Automation),发展迅速,应用围日益扩大。它以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

本文应用VHDL硬件描述语言,以QuartusⅡ8.0为开发工具设计了一个具有自动演奏乐曲功能的电子琴系统,它能将预先存储在ROM中的多首乐曲自动播放出来,并同时显示音符,此外,还具有电子琴弹奏的功能。选题新颖、实用,趣味性、综合性较强。

二、设计目标

1. 采用44矩阵键盘作为:电子琴按键,高、中、低音选择键,自动播放和电子琴弹奏功能选择键,乐曲选择键。

2. 使用ROM存储乐曲,达到只要在其中存储乐曲音符节拍的信息即可自动播放的目的,对乐曲的编码要简单易用。

3. 可自动播放《世上只有妈妈好》、《长亭送别》、《十年》三首歌曲,带选歌和自动循环播放的功能。

4. 实时显示正在播放的音符。

5. 设计要具有模块化,层次化的特点。

6. 波形仿真时采用时序仿真,以更加贴近实际,使系统的实际效果达到最佳。

三、实现方案

1. 原理框图

具有自动乐曲演奏功能的电子琴系统的原理结构框图如下:

图1 硬件系统结构框图

图2 软件系统结构框图

图3 乐曲自动播放模块结构框图

图4 乐曲弹奏模块结构框图

2. 设计流程图

具有自动乐曲演奏功能的电子琴系统的VHDL程序设计流程图如下图5:

图5 程序设计流程图

四、设计过程

1. 音乐基础知识

简谱应该说是一种比较简单易学的音乐记谱法。它的最大好处是仅用7个阿拉伯数字----1234567,就能将万千变化的音乐曲子记录并表示出来,并能使人很快记住而终身不忘;同时涉及其他的音乐元素也基本可以正确显示。简谱虽然不是出现在中国,但是好像只有在中国得到非常广泛的传播。

乐音的特性:它由四个方面组成:音高、音值、音量、音色。

音高:由物体在一定的时间震动的次数决定,震动次数多,因则高,反之,则低。

音值:即音的长短,是由音的延续时间的不同而决定的,音的延续时间长,音则长,反之,则短。

音量:即音的强与弱,由震幅的大小决定,震幅大,音则强,反之,则弱。

音色:有发音体的性质决定,发音体的形状及泛音的多少决定音色的不同,例如,小提琴、钢琴等各种乐器的音色都是不同的,在合奏时,人们可清楚地辨认。

乐音体系:在音乐使用中有固定音高的音的总和叫乐音体系。

音级:乐音体系中的各音叫音级,音级有基本音级与变化音级两种。

基本音级:在音乐中经常使用的七个具有独立名称的音叫基本音级。基本音级的名称

用字母或唱名两种方式来标记。

音名:用C、D、E、F、G、A、B来标记基本音级的叫音名,它表示一定的音高,简谱中用1,2,3,4,5,6,7来标记。

唱名:用do、re、mi、fa、sol、la、si作为音级名称的叫唱名。

音符:用以记录音的长短高低的符号叫音符(以符头在谱表上的位置来表示音的高低,以形状表示音的长短,音符有符头、符干、符尾三部分或其中某些部分组成,而在简谱中以1 2 3 4 5 6 7或其上下加点来表示不同音高,以短下划线(_)或横(—)来表示音的长短)。

下面重点介绍组成音乐的两个最基本的要素:每个音符发音的频率及其持续的时间。

1.1 音符和频率的关系

乐曲的十二平均律规定:每2 个八度音(如简谱中的中音1与高音1)之间的频率相差一倍。在2个八度音之间,又可分为12个半音,每2个半音的频率比为。另外,简谱中的低音6的频率为440Hz,音符7到1之间、3到4之间为半音,其余为全音。由此可计算出简谱中从低音1至高音7之间每个音符的频率,如下表1所示:

表1 简谱中音符与频率的关系

1.2

表示音乐的长短需要有一个相对固定的时间概念。简谱里将音符分为全音符、二分音符、四分音符、十六分音符、三十二分音符等,如下表2。在这几个音符里面最重要的是四分音符,它是一个基本参照度量长度,即四分音符为一拍。这里一拍的概念是一个相对时间度量单位。一拍的长度没有限制,可以是1秒也可以是2秒或半秒。假如一拍是一秒的长度,那么二拍就是两秒;一拍定为半秒的话,两拍就是一秒的长度。一旦这个基础的一拍定下来,那么比一拍长或短的符号就相对容易了。正如五线谱的附点一样,数字后方加一点会将音符长度增加一半。

表2 简谱中音符长度

半拍

四分之一拍

三十二分音符八分之一拍

2. VHDL程序设计

2.1 顶层文件

采用原理图输入法设计,其原理图如下:

图6 music_player原理图

各模块的设计如下。

2.2 音符的编码及音乐的存储

2.2.1 音符的编码

休止符的编码为:0;音符长度的编码见表2。音名的编码如下表3所示:

表3音名的编码

2.2.2 音乐的存储

以十进制将音乐分别存储于note_rom和tick_rom两个模块中,前者为音符,后者为音符的长度,下面给出《世上只有妈妈好》的存储过程。在Quartus II 主窗体中选择Tools—MegaWizard Pluge-In Manager…,弹出如下对话框,单击Next继续。

图7 MegaWizard Pluge-In Manager第一页

按下图选择并填入文件名,点击Next。

图8 MegaWizard Pluge-In Manager第二页

由于音符编在16到32之间,所以q选为5位即可,容量选为1024个字如下图

所示

图9 数据和地址宽度的选择

在下图中取消“q’output port”前面的勾,点击Next继续。

图10 寄存器信号的选择

在下图中,按图示选择并填入note_rom.mif,点击Next继续。

图11 指明ROM初始化文件

图12 完成ROM的定制

2.2.3 建立ROM初始化文件

初始化ROM的数据文件有.mif格式和.hex格式,这里采用.mif格式,可以用文本编辑器编辑,也可以用Quartus II自带的功能产生ROM数据文件。

定制一个512*8的ROM的初始化.mif文件可按下列步骤进行:选择菜单File | New,在对话框中选择Memory Initialization File,然后输入512和8,如下图13(a)所示,点击OK随即打开.mif文件,如下图13(b)所示,然后可在其中输入数据,默认为十进制。

(a)ROM的初始化设置(b)初始化的空的ROM文件

图13 ROM初始化

2.2.4 在ROM中存储音乐

以《世上只有妈妈好》为例,其简谱如下图所示:

图14 世上只有妈妈好简谱

根据前述对音符的编码规则,可知第一个音为中音6,时值为拍,存储为6和6;

再如第二个音符为中音5,时值拍,存储为5和2;又如第五个音符为高音5,时值1拍,存储为21和4。依此类推,可将整首乐曲存储如下:

(a)note_rom.mif文件(b)tick_rom.mif文件

图15 世上只有妈妈好简谱在ROM中的存储

其它两首乐曲的存储与此类似,且存储在同一个note_rom.mif和tick_rom.mif文件中即可。

3.键盘控制模块

3.1扫描及编码

矩阵式键盘是一种常见的输入装置,根据其电路连接有共阴极和共阳极两种连接方式,可以采用逐行或逐列扫描法获得按键值。本文根据实验室提供的共阳极矩阵键盘设计,其示意图如图16所示,定义各功能键如下图17所示,其中1~7对应简谱的1~7音符,H、M、L分别为高、中、低音选择键,AP(Auto Play)为自动播放选择键,EO(Electronic Organ)为电子琴弹奏选择键,Song为自动播放时的歌曲选择键,三个短“-”代表休止符。

图16 共阳极矩阵式键盘示意图图17本系统矩阵键盘功能键示意

下面介绍扫描原理,采取逐行扫描法,以0111、1011、1101、1110的顺序依次

扫描,然后读取列引脚的电平信号即可判断哪个按键按下。例如,当扫描信号为1011时,表示在扫描第二行,若列读出的电平信号为1101,则可知第3列的键被按下,即第二行第3列的键“7”被按下,其它按键依次类推,下表4中列出了4*4矩阵键盘扫描时的情况

、从左至右的顺序编码,依次为:1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16 。

扫描时,选取的扫描频率为1KHz,由1MHz分频得到,具体见源程序。

3.2 消抖

通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,如右图。抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。这是一个很重要的时间参数,在很多场合都要用到。

图18 按键抖动

常见的消抖方法有采样型防抖微分电路、D型触发器、移位寄存器、计数器,结合各消抖法的特点,本文采用计数器法。

3.3键盘控制模块如右图9所示

图19 键盘控制模块

键盘控制模块(Key_Control.vhd)仿真波形如下图20所示:

图20键盘控制模块仿真波形

为方便仿真及观察仿真结果,仿真时采用周期为100ns的clk,且将Key_Control.vhd中的获得clk_kb信号的程序中的ift=499 then,(即具体见源程序中斜体注释部分)改为ift=10 then,再将延时近程中clk_kb改为clk,count改为3位,然后对KBCol[0..3]赋值(如图),即可得到上图所示结果。

注意观察图中圈圈的部分,可以看出,第一个圈,对应“AP”键,他不在音符围,故Key_Note为0,且play,sel均为1,表示选择自动播放;第二个圈,对应“5”键,在音符围,故Key_Note为5;第三个圈,对应“EO”键,他不在音符围,故Key_Note为0,且play,sel均为0,表示选择电子琴弹奏;第四个圈,对应“Song”键,产生一个脉冲,仔细分析还应当发现由于消抖,使输出迟了1个扫描时钟(0.001s),但对本系统无影响。

4. 乐曲自动播放模块

此模块的框图如图3所示,元件符号如右图下21所示,包含以下模块:ROM数据读取控制、音符ROM、节拍ROM,如下图22所示:

图21 乐曲自动播放模块符号

图22 乐曲自动播放模块

4.1 ROM数据读取控制

该分模块从tick_rom中读取节拍,控制读取ROM

数据的速度,从而实现音乐的节奏。该模块通过可调模值计

数器实现,具体参见源程序。

乐曲自动播放模块仿真波形如下:

图23 乐曲自动播放模块仿真波形

为方便仿真,将counter_rom.vhd源程序中的CLK_FREQ这一常量的值改为仿真时的时钟信号频率100Hz,三首乐曲的前几个音符如右图所示,第一首的起始音符为中音6,时值一又二分之一拍;第二首的起始音符为中音5,时值为1拍;第三首的起始音符为0,接着为1,2,时值分别为二分之一拍、四分之一拍、四分之一拍。对照仿真结果,可

知仿真结果正确,程序正确。

图24 三首乐曲的第一句简谱

5. 电子琴模块

此模块主要完成将上一级键盘控制模块送来的音符按键进行译码输出,元件符号如右图11所示。

如图12所示,使能有效后,开始输出音符码:2,第一个圈中key值为8,说明下面的音符将转为高音,如后面的23,26;第二个圈中key值为12,说明下面的音符将转为中音,如其后的6。可见,程序正确无误。

图25 电子琴模块

图26 电子琴模块仿真波形

6. 音符发声及显示模块

该模块主要将前端送来的音符码转换成相应的声音频率以驱动扬声器发声,并在七段数码管(实验室提供的为

八段数码管,但本设计只需七段)上显示音符,且有高

低音指示灯。元件符号如右图。

七段数码管的原理及使用很简单,在此不再熬述!

本文设计的具有自动乐曲演奏功能的电子琴系

统选择的基基准频率为1MHz,根据表1可计算出对应

的音符的分频系数(divider_mod),如下表5:

表5 1MHz

值改的小些,下面给出的仿真波形中,将中音6、低音6、及高音6对应的divider_mod值分别改为40、80、20,clk周期为100ns,分别给note_code赋以下值:6,16,26,即中音6、低音6、及高音6。

图27音符发声及显示模块仿真波形

由上图可见,数码管显示均为6,但高低音指示灯的值不同,pitch为高低音指示灯,pitch[1]为高位,且“00”代表中音,“01”代表低音,“10”代表高音。可见仿真结果及程序均正确。

7. 五二输入或门

由于本系统有自动播放和电子琴弹奏两个模块组成,每次只能其中一个有效,但要共用音符发声及显示模块,故需要将输出的音符码相或后送予后级处理,由于本模块比较简单,在此仅给出仿真波形,如下。

图28五二输入或门

五、遇到问题及解决方法

1. 矩阵键盘的扫描是一个重点和难点,程序写好之初效果并不理想,仔细分析后,发现是没有消抖造成的,后来加入了消抖的进程,效果得到改善。

2. 电子琴弹奏模块的实现过程中也出现了一个问题:高、中、低音的选择不起作用,此模块仅有一个进程,如下:

process(key,play,EN)

variable sign :integer range 0 to 2:=0;

begin

if play='0' and EN='0' then

if key=12 then

sign:=0;--mid

elsif key=16 then

sign:=1;--low

elsif key=8 then

sign:=2;--high

end if;

if key>=1 and key<=7 then--1~7

note_code<=key+10*sign;

else

note_code<=0;--stop

end if;

end if;

else

note_code<=0;

sign:=0;

end if;

end process;

但仿真结果令人惊讶,只能输出高音,仿真波形如下,错误处已经用叉号标记出来

图29 未加入clk时钟信号时的仿真结果

解决办法:给该模块加入时钟驱动,用上升沿触发事件,结果得到了想要的结果,如下图,程序见该模块源代码。

图30 加入clk时钟信号时的仿真结果

六、实现结果

1.拨动开关,使start为1,此时数码管显示短横,扬声器不发声;

2.按下矩阵键盘的“AP”键,系统开始自动播放乐曲,顺序:《世上只有妈妈好》、《长亭送别》、《十年》循环播放;

3.按下歌曲选择键:“Song”,自动切换到下一首播放;

4.按下“EO”键,扬声器不发声,按数字键1~7,发出do~si的音符;

5.按下“H”、“M”、“L”键,可切换高、中、低音;

6.拨动开关,使start为0,此时数码管显示短横,扬声器不发声;

本文设计的具有自动演奏乐曲功能的电子琴系统,实现了所有设计目标,效果优秀,极具综合性、趣味性!

此系统的功能还可以进一步完善,如增加手动改变音乐播放的节奏、长时间无按键系统自动关闭、手动输入音符再自动播放(当然需将ROM换成RAM)等功能。

此系统是我独立开发的一个小型系统,且实现的效果很好,很有成就感,更加激发我搞科研的热情!

七、编程调试

1. 具有自动演奏乐曲功能的电子琴系统的所有VHDL源代码

1.1 顶层文件music_player.bdf如下:

图31 music_player.bdf文件

1.2 键盘控制模块(Key_Control.vhd)VHDL源程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity Key_Control is

port (clk,start: in std_logic;

KBCol:in std_logic_vector(0 to 3);

song:buffer std_logic;

play:out std_logic;--1music_play; 0:Elc_keyboard;

sel:out std_logic;--1:music_play; 0:Elc_keyboard; Key_Note:buffer integer range 0 to 16; KBRow:buffer std_logic_vector(0 to 3) );

end entity;

architecture control of Key_Control is

signal clk_kb:std_logic;

signalt:integer range 0 to 1000;

signal temp:STD_LOGIC_VECTOR (7 downto 0); signal state:std_logic_vector(1 downto 0):="00"; signal count:std_logic_vector(1 downto 0):="00"; signal key:integer range 0 to 16;

signal keynum:integer range 0 to 16;

signal TempKey:integer range 0 to 16;

signal song_chg:std_logic:='0';

begin

process(clk) --产生键盘扫描

begin

if rising_edge(clk)then

if start='1' then

ift=499 then--仿真时改为ift=10 then

clk_kb<=not clk_kb;

t<=0;

else

t<=cnt+1;

end if;

end if;

end if;

end process;

process(clk_kb)

begin

if rising_edge(clk_kb) then

if state="11" then

state<="00";

else

state<=state+1;

end if;

end if;

end process;

process(state)

基于FPGA的多功能电子琴设计与实现

西南科技大学 电子专业综合设计报告 设计名称:基于FPGA的多功能电子琴的设计与实现 姓名: 学号: 班级: 指导教师: 起止日期: 2013年11月22日-2013年12月10 日 西南科技大学信息工程学院制

综合设计任务书 学生班级:电子1001 学生姓名:学号: 设计名称:基于FPGA的多功能电子琴的设计与实现 起止日期:2013.11.22-2013.12.10 指导教师:

综合设计学生日志

基于FPGA的多功能电子琴的设计与实现 摘要:随着科学技术的日新月异,人们的生活也在发生在变化,电子产品也随之增多,比如 现在流行的电子琴,已经逐渐代替了曾经的手动风琴了。文章中所介绍的多功能电子琴的设计在Quartus II平台上,采用Verilog HDL 语言和模块化的设计方法,设计出一个能够通过按键控制不同的音符,同时也可以通过按键进行演奏已经存储的曲子的多功能电子琴。本系统主要由五个个模块组成:顶层模块,曲目1模块,曲目2模块,按键模块,曲目循环播放模块。 关键词: FPGA;电子琴; Verilog HDL;音符

FPGA-based design and implementation of multi-organ Abstract:With the development of science and technology, also occurs in people's lives change, electronic products also increase, such as the now popular organ, has replaced the former manual organ. Multifunction keyboard design as described in the article on the Quartus II platform, using Verilog HDL language and modular design method, design a button control through different note, you can also play music already stored by keys multifunction keyboard. The system consists of five modules: the top-level module, a module tracks, track 2 modules, key module, track loop module. Key words: FPGA, Keyboard, Verilog HDL, Note

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

现代简约风格居家设计说明

现代简约风格居家设计说明 现代简约风格设计定位:家是心灵的港湾。随着人们在旅游中感受到简约的魅力,“简约但并不简单的装饰风格”。当疲惫的身心对家的依恋越发强烈,人们想要的是轻松、自由的环境,“现代简约风格”自然就成为家居设计的一种风尚。注重大小色块间的组合,地域性的后期配饰融入设计风格之中。简约,不简单走进现代简约风格家居。现代人面临着城市的喧嚣和污染,激烈的竞争压力,还有忙碌的工作和紧张的生活。因而,更加向往清新自然、随意的居室环境。越来越多的都市人开始摒弃繁缛豪华的装修,力求拥有一种自然简约的居室空间。此居室整体采用中性色调,稳重,大气,却又不失品位,有令人眼前一亮的感觉。最重要的是强调功能性设计,线条简约流畅,色彩对比强烈,这是现代风格家具的特点。此外,大量使用茶镜、条纹壁纸等材料作为辅材,也是现代风格家居风格的主要装修材料,能给人带来现代、时尚,大气的感觉。 简约不等于简单,它是经过深思熟虑后经过创新得出的设计和思路的延展,不是简单的“堆砌”和平淡的“摆放”,不像有些设计师粗浅的理解的“直白”,比如床头背景设计有些简约到只有一个十字挂件,但是它凝结着设计师的独具匠心,既美观又实用. 现在家庭的简约不只是说装修,还反映在家居配饰上的简约,比如不大的屋子,就没有必要为了显得“阔绰”而购置体积较大的物品,相反应该就生活所必需的东西才买,而且以不占面积、折叠、多功能等为主。试想:昂贵的价格寸土寸金的价格买的屋子让个“破家具”给挤的没了“空间”,那我们作为“主人”生 活的惬意从何而来,能“简约”吗? 修的简约一定要从务实出发,切忌盲目跟风而不考虑其他的因素。简约的背后也体现一种现代“消费观”。即注重生活品位、注重健康时尚、注重合理节约科学消费。其实,有些装修的“风格”是完全没有必要的,而且要的越多,带来的“隐患”越多。比如,近几年的儿童患白血病以及其他因环境外部因素致病的案例时有发生,很多确实是与不合理、不科学的装修有关的。所以, 提倡简约的“消费观”。 简约主义源于20世纪初期的西方现代主义。简约风格的特色是将设计的元素、色彩、照明、原材料简化到最少的程度,但对色彩、材料的质感要求很高。因此,简约的空间设计通常非常含 蓄,往往能达到以少胜多、以简胜繁的效果. 以简洁的表现形式来满足人们对空间环境那种感性的、本能的和理性的需求,这是当今国际社会流行的设计风格——简洁明快的简约主义。而现代人快节奏、高频率、满负荷,已让人到了无可复加的接受地步。人们在这日趋繁忙的生活中,渴望得到一种能彻底放松、以简洁和纯净来调节转换精神的空间,这是人们在互补意识支配下,所产生的亟欲摆脱繁琐、复杂、追求简单和 自然的心理。 简约主义源于20世纪初期的西方现代主义。简约风格的特色是将设计的元素、色彩、照明、原材料简化到最少的程度,但对色彩、材料的质感要求很高。因此,简约的空间设计通常非常含 蓄,往往能达到以少胜多、以简胜繁的效果. 以简洁的表现形式来满足人们对空间环境那种感性的、本能的和理性的需求,这是当今国际社会流行的设计风格——简洁明快的简约主义。而现代人快节奏、高频率、满负荷,已让人到了无可复加的接受地步。人们在这日趋繁忙的生活中,渴望得到一种能彻底放松、以简洁和纯净来调节转换精神的空间,这是人们在互补意识支配下,所产生的亟欲摆脱繁琐、复杂、追求简单和自然的心理。在家具配置上,白亮光系列家具,独特的光泽使家具倍感时尚,具有舒适与美观并存的 享受。在配饰上,延续了黑白灰的主色调以简洁的造型、完美的细节,营造出时尚前卫的感觉。 不论是卧室还是客厅,本设计都集中体现了这一点,同时也满足了业主的要求,避免了白色的木地板带来的不便。现代简约中离不开金属灯罩、玻璃灯、高纯度色彩、线条简洁的家具、到位的软装。。电视背景墙上的软包,实惠又大方,同时不失时尚, 是不错的选择. 本设计中在简约的同时又 辅以混搭给空间注入新的元素富有趣味性。希望可以让业主在忙碌工作了一天回到家里能够

基于FPGA的简易电子琴设计

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计 学生姓名:王春指导老师:郑大腾 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统; Abstract This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

八位智力抢答器的设计与制作开题报告

八位智力抢答器的设计与制作开题报告 一、开题报告背景和意义 智力竞赛是一种能锻炼人的头脑开发人的IQ的一种大众化游戏,也起到娱乐的作用。现在智力竞赛越来越被多数人喜爱和娱乐,像中央卫视的三星智力快车、金苹果、幸运50等等多档智力竞赛节目都拥有大批的忠实观众。而且国内外各地电视台、工厂、学校等单位也会常常举办类似的智力竞赛活动,然而智力竞赛抢答器是必要设备。 在有些地方举行的各种智力竞赛游戏中我们经常看到有抢答的环节,举办方大多数采用让选手通过举答题板的方法或者是举手的方式判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。 二、开题报告任务的主要内容 1.设计一个智力抢答器,可同时供多名选手参加比赛,对应多个抢答按钮。 2.主持人设置一个控制开关,用来控制系统得清零(显示数码灭)和抢答开始。 3.抢答器具有数据锁存功能,抢答开始后,若有选手抢答,编号立即锁存,LED 显示选手编号。同时扬声器给出音响提示,此外,要封锁输入电路。 4.(扩展功能)定时抢答,主持人设定时间,启动开始后,定时器立即减计时,并用显示器显示。 5.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示选手编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6.如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,并封锁输入电路,报警,禁止超时抢答。 三、已具备条件 熟练protell99se软件的使用,已学过模拟电子技术、数字电子技术和电路基本知识等课程。还有电子元对基本电路的简单设计,器件的选型、安装、焊接与调试。

简易开瓶器的设计计算

简易开瓶器的设计计算 摘要:本文对生活中常见的物品---开瓶器进行了简单的分析计算,通过有限元建立它的平面模型,并对它的解构进行了一定的优化,以使理论上的模型更加适应真实的使用情况。 引言:开瓶器是生活中常见的工具,也是典型的纯金属物品,而且整体都是同一种材料。因此,可以很方便的建模计算,同时,开瓶器的厚度相对于它的尺寸较小,故适宜用板壳单元来计算,也方便了建模与结果的处理。 1.对于开瓶器的结构分析与初步建模: 如图所示,这是开瓶器的基本形状。也就是一段是使用端,人的手在这一段施加外力,另一是固定端。固定端是半个圆环,外径30mm,内径24mm。而开瓶器的全长是90mm,人的手握在它的后面的小半部分,可以对A4平面施加一个较大的转矩作为开瓶子的载荷。 开瓶器的受力可以简化为一个杠杆,末端是支点,作为杠杆支点,它没有位移自由度,故需对其进行约束,而支点的转动则不需约束,在实际开瓶器中,这个支点是一条线(如图)。而杠杆的另一端(在图上表示为平面A1)是分布载荷,即人的手掌对杠杆施加的握力。杠杆的中间,靠近支点的地方则有一个相对于手掌所施加的力方向相反的载荷,在实际生活中,这就是与瓶盖接触部分所受的反作用力,该载荷其分布在一个面积很小的圆弧面上,即小平面A4,但是这个载荷是未知的,故用一个Z方向的位移约束来代替。 2.初次计算与分析: 首先,通常我们使用开瓶器时,手上的力不会超过十公斤,即100N,分布在接触面(上图平面A1)上的数值大约为1E5左右。 同时,在该工况下,可以用有限元算出此结构的所承受的总载荷: FX 0.000000 MX -5.177613 FY 0.000000 MY 0.8232875E-05 FZ 84.92665 MZ 0.000000 从中可以看出,在该模型中,我们假设我们的手掌对开瓶器施加的合外力大小是82N,基本符合实际情况,而在这种外载情况下,开瓶器承受的最大力矩大约在

蜗居—设计说明

设计说明 现代城市高速发展,几乎所有的城市都在经历前所未有的大规模住宅建设。小户型家装设计的发展趋势已成为相当一部分人的居住常态,生活是现代的、时尚的、轻松的、激情的。生活态度如此,购房的标准如此。对于家的感觉,它可能只是一个小小的空间,却是最舒展最自由的天堂;蜗居生活现在越来越受到年轻的青睐。 小户型公寓设计可以体现出小而全、小而精的设计理念。在装饰装修方面, 要以简洁、时尚、舒适为主,实用与装饰并重,合理利用空间。 小户型的简约风的好处有很多,经济,紧凑,也容易打理,有温馨的感觉。本设计方案是一个六十平简约风格的蜗居。整体的设计风格简单明快,属于简约大方型设计。之所以做现代简约风格的设计是因为简洁和实用是简约风格的基本特点,人们装修时在要求经济实惠的同时,更追求居室的实用性,简约主义更体现出人们个性化的一面。同时用时少,经济实用,美观大方,温馨典雅。 蜗居—顾名思义就是面积小的房子,面对这样一个六十平的小户型,首先要考虑到家具的设计,如何在有限的空间内使居室各功能既有分隔,又有内在联系、不产生拥挤感,这在很大程度上取决于家具的形式和尺寸。造型简单、质感轻、小巧的家具,尤其是那些可随意组合、拆装、收纳的家具比较适合小户型。客厅的沙发床就是可以收纳的多功能沙发,白天收好是个沙发,晚上打开就是一张床,家中来了客人也不担心没法住。而书房的家具,在小居室中既要放下电脑桌,还要再添一个大书架或书柜就显得有些拥挤了,因此充分了利用了主卧的阳台,一端做上整体的书桌,另一端则打造一个书柜,这样便有了书房的功能区。主卧窗户无需多加“装饰”,粗重的帷幕不适合小户型,用薄的窗帘更能体现简约风。窗帘的色调与整个房间的装修协调。厨房、卧室和门厅用的是同样的壁纸,使房间显得宽大。 由于房子小,所以要采用隔屏、滑轨拉门或采用可移动家具来取代原有的密闭隔断墙,把墙变“活”,使整体空间有通透感。在厨房与客厅之间把墙拆除,用花色玻璃来取代隔墙,餐桌背景墙采用的是黑玻,有镜面的效果,增加亮度,这样不仅做到了小而精,也非常现代开放,小小的蜗居也就会充满浪漫的情调。厨房和客厅的玻璃窗非常明亮,自然室内光线充足。在平面格局上以满足实用功能为先,合理地布置各个功能分区、人流路线和一些大型的家具。采用开放式厨房或客厅、餐厅能很好的节省空间,相互渗透的空间增加室内的层次感和装饰效果。 客厅地板采用米白人造大理石,既大气又容易清洗。最大的优点是,人造大理石价格便宜,而且大理石地面会在视觉上夸大客厅面积,使本来不大的空间得到延伸和扩展。浅色系能彰显气质和视觉美感。给人眼前一亮的感觉。电视背景墙采用了简易的壁纸,既典雅又经济。而且可以在实用一段时间后进行更换,方便,实惠。 厨房区域的设备统统采用了最简约的白色和银色,没有任何繁复元素的搭配,更显一份纯粹美感,是最直白的生活态度表达方式。迷你的小餐桌设计靠在墙壁充分发挥了小户型的节省理论。 玄关处是一个创意鞋柜,白色的整体色调让人进门就感觉到时尚简约的气息,斜格子的酒架在鞋柜中间与其完美结合,令人悦目。 浴室的面积虽然只有小小的平米,但是麻雀虽小、五脏俱全,沐浴、洗漱、

学习电子琴的好处

学习电子琴的好处 众所周知,电子琴,独具特有的魅力:简单易奏,音色丰富,节奏变幻,电声效果,既可模仿大提琴、小提琴、电吉它、小号、长笛、单簧管等管弦乐器,又可模仿大自然中、人类生活中的音色变化、动物声响、宇宙音响、幻想的、电声的各种特殊的音响效果等等,是其他任何乐器无法比拟的!它可以对孩子们产生强烈的吸引力,激发他们探索的好奇心,引起他们的兴趣和爱好。让身、手、脑和口并用,能够陶冶情操、美化心灵、促进记忆、开发智力、增进身心健康,致使"电子琴热"长久不衰。 一、利用电子琴进行乐理教育 利用电子琴键盘功能,可对学生乐理教学方面进行形象的、直观的教学,让学生达到形象生动而又牢固的掌握所学知识的目的。 二、利用电子琴进行节奏训练 节奏是音乐的生命。对学生进行音乐教育,十分强调从节奏入手。在以往的节奏训练中,只能依赖在嘴里念"哒哒"声,或手打"啪啪"声来练习,这种枯燥、机械的节奏拍打训练,往往脱离音乐,忽略了对音乐节奏的整体感受。 然而,电子琴是多功能的乐器,它储备了几十种甚至上百种节奏音型,通过调节速度的快、慢,运用高、中、低音区的变化和丰富的音色变化等,这种融概念、视觉、触觉与听觉为一体的方式,可使同学们的训练兴致提高,成为培养学生节奏感的最好的辅助工具,让学生所记住的不仅是语言,更有声音的形象。这种节奏训练是扎根在听、说、唱、动的音乐实践中,使学生获得整体的、清晰的、敏锐的节奏感。 三、利用电子琴进行音准训练 音准是音乐的灵魂,"五音不全"就失去了音乐的表现力。此种人可以称为"音盲"。在以往的音准训练中,总是听琴声跟老师学唱,形式较为单一、老套、缺乏趣味性,效果也甚微。尤其是音准差的同学,难免受到别的同学们的嘲笑。 通过电子琴的教学,他们便可利用固定的音高,优美的音色,来进行模唱和弹奏,校正音准,使老走调的同学树立起自信心。同时,通过多种唱、弹形式,如:男生弹、女生唱;第一二组唱、三四组弹;一人弹、其他同学唱等等方式,让学生处于主导的地位,而老师有时候也可当听众、观众,提出听后的评估。这时候,每个学生都愿意来当"小先生"、"小明星"。 四、利用电子琴的音色、音区、节奏和速度的变化,感受音乐 电子琴有上百种可变化的独奏、合奏音色,上百种自动伴奏音型,多种模拟大自然的音响和打击乐,可以利用这些特殊的模仿大自然中、人类生活中的音色变化,来设计一些特定的场景或小故事等。也可以配合欣赏一些音乐作品。在学生对这些作品有所了解之后,让他们自行设计,运用所理解的音色、音区、节奏和速度等的变化来表达他们想要表达的某个事件或情感内容,增强学生丰富的想象力和创造能力。 五、利用电子琴培养学生的集体主义精神和礼貌待人的良好风尚学生们在电子琴集体课中,要求做到整齐、协条、一致;在上台表演时又学会了仪容整洁与尊重听众等文明习惯;并在与大家一起学习的交往过程中,获得了欢乐的情绪,从而摒弃某些独生子女孤僻的性格,树立集体主义精神和团结协作的观念。一、学习电子琴的好处

基于STM32的电子琴设计说明

综合设计任务书 学生班级:学生:学号: 设计名称:电子琴的设计与实现 起止日期:2013.11.18—2013.12.15 指导教师:

综合设计学生日志

电子琴的设计与实现 摘要:本次设计是xx专业专业方向设计,利用单片机设计简易电子琴。其主要功能为:按下不同按键,发出不同 1 、2 、3、4 、5 、6 、7 七个音符并且用LED 或LCD显示当前按键。选用stm32f103C8T6,它有8个定时器,部分定时器有多达4个用于输入捕获/输出比较/PWM或脉冲计数的通道和增量编码器输入。利用芯片部相关定时器来输出PWM,从而来驱动蜂鸣器。通过读取外部按键输入的值来相应改变定时器相关寄存器的值,从而来改变PWM的输出频率来达到发出不同音调。 关键词:STM32f103C8T6;PWM;定时器

The Design of the Keyboard Abstract:This design is the professional direction of biomedical engineering design. Using Single Chip Microcomputer to achieve a simple Keyboard. Its main function is: While a user press the different keys, it will make different sounds from the buzzer and display different numbers which corresponded to the sounds. Using stm32f103- -C8T6 as control chip. It has16-bit timers. Some of them with up to 4 IC/OC/PWM or pulse counter. Making use of the Timers to generate driving signal .By reading the state of the external key to change the frequency of output . Different frequency of the PWM will control buzzer makes different sounds. Key words: STM32f103C8T6; PWM; Timer

简易抢答器的电路设计

简易抢答器的电路设计 学院名称公安技术学院 专业刑事科学技术、安全防范工程班级12级刑科、13级安防、刑科指导老师寇为刚 学生姓名脱海涛彭俊杰刘吉宏陈砚君 甘肃政法学院 2013年12月8日

摘要 本设计主要介绍用数电知识设计八路抢答器。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,并与主持人开关相连接,即构成了抢答器的主体电路。经过布线、接线、调试等工作后数字抢答器成形。借助较少的外围元件完成抢答的整个过程,设计制作了八路抢答器,设计编程简单,工作稳定可靠。可供8人或8个代表队抢答,并用7段数码管显示首先抢答者的组别号码,有人抢答后自动闭锁其他各路输入,禁止其他人抢答,使其他组的开关失去作用,而显示最先按下抢答键的组别号。只有当主持人按下复位按键才能再次抢答。 关键字:抢答器数码管信号电路 Abstract The design introduced by the number of electrical knowledge eight responder design. Input signal priority coding circuit, latch, decoder circuit will be the team's output on a display, and connected with the host switch, which constitute the main circuit responder. After wiring, wiring, commissioning work forming digital answering device. The whole process with fewer peripheral components to complete the answer, designed and produced eight way responder, programming is simple, stable and reliable work. For 8 people or 8 team competition, with 7 digital tube display first responder group number, some people answer automatic blocking other each input, no other answer, switch to other groups lose their effect, and shows the first press the answer key constituencies. Only when the host press the reset button again to answer. Keyword:Responder Digital tube Signal Circuit

啤酒瓶开瓶器冲裁落料模模具毕业设计

摘要 本论文应用所学专业理论课程和生产实际知识进行了冷冲压模具设计工作的实际训练,从而培养和提高学生独立工作能力,巩固与扩充了冷冲压模具设计等课程所学的内容。通过设计与制造,掌握冷冲压模具设计的方法和步骤,掌握冷冲压模具设计的基本技能,懂得了怎样分析零件的工艺性,怎样确定工艺方案,了解了模具的基本结构,提高了计算能力,绘图能力,熟悉了相应工程设计规范和标准,同时对相关的课程进行了全面的复习,使独立思考能力有了提高。本设计采用落料冲裁级进模,能较好地实现落料及落料件的修边,模具设计制造简便易行。落料冲裁效果好,能极大地提高生产效率。在设计中因落料冲裁凹模设计较为重要,充分考虑到其落料冲裁模口形状,否则易影响落料冲裁件的形状。而且要考虑到修模的方便,因此在设计中采用了镶块,即使是凹模出现问题需要修理,可以在很短的时间内替换损坏的镶块,为再次投入生产节约时间,能提高生产效率。主要工序包括:a冲孔,b冲裁,c落料。本设计分别论述了产品工艺分析,冲压方案的确定,工艺计算,模板及主要零件设计,模具装配等问题。本设计的内容是确定复合模内型和结构形式以及工艺性,绘制模具总图和非标准件零件图。 关键词:冷冲压,冲裁,落料,

前言 随着科学技术的发展需要,模具已成为现代化不可缺少的工艺装备,模具设计是机械专业一个最重要的教学环节,是一门实践性很强的学科,是我们对所学知识的综合运用,通过对专业知识的综合运用,使学生对模具从设计到制造的过程有了基本的了解,为以后的工作及进一步学习深造打下了坚实的基础。 毕业设计的主要目的有两个: 一是让学生掌握查阅查资料手册的能力,能够熟练的运用工程软件进行模具 设计。 二是掌握模具设计方法和步骤,了解模具的加工工艺过程。 本文是落料冲裁级进模设计说明书,结合模具的设计和制作,广泛听取各位 人士的意见,经过多次修改和验证编制而成。为了达到设计的规范化,标准化和 合理性,本人通过查阅多方面的资料文献,力求内容简单扼要,文字顺通,层次 分明,论述充分。其中附有必要的插图和数据说明。 本文在编写过程中得到了老师的精心指导和同学们的大力帮助,在此表示衷 心的感谢。由于本人是应届毕业生,理论水平有限,实践经验不足,说明书中难 免有不当和错误的地方,敬请各位老师批评指正。 2

现代简约设计说明

设计说明 本方案是围绕现代简约为主题,适合于三口之家居住,以简洁明快的设计风格为主调,简洁和实用是现代简约风格的基本特点。简约风格不仅注重居室的实用性,而且还体现出了现代社会生活的精致与个性,符合现代人的生活品位。 1 门厅 入口门厅玄关是一个缓冲过渡地段。虽然是居室空间中狭小的一角,却对整个居室的风格起着至关重要的作用。玄关是反映主人文化气质的脸面,是给客人第一印象的关键所在,必须精心设计。玄关因地制宜,可大可小,可繁可简,但绝不能可有可无。此处的灯光也应该有足够的照度。本案根据要求就在玄关处简单的放了一个鞋柜。 2.客厅和餐厅 客厅对于家庭成员来说,除了是多功能,多用途的空间,还是生活空间的中心。它的最佳位置是在餐厅旁边,与私人空间分开。在设计时考虑了家庭成员的数量,访客量和家族风格,它通过摆设家具,建立了一个稳定的区域,在所提供的空间里满足需求。 客厅设计采用简约现代的风格是思想追求和精神情趣的直接反映,它与个人的文化背景和家庭修养的基础上,设计有自己特色的居室风格。简洁沙发和茶几,沙发独立靠在墙边,旁边放了一盆植物,给人一种舒适安静的感觉。 餐厅是家居生活的心脏,不仅要美观,更重要的实用性,整体性。在确立风格之后,又考虑到利用了酒柜形状设计,这个布局以实现特定需要的风格情调。房屋结构,最大限度地获得了自然采光的优势,采用了暖色的大理石创造了一个温暖浪漫的阳光通道。 4.厨房 现代化的厨房是家庭装修的重要组成部分,是制作食品的场所。光线充足、通风良好、环境洁净和使用方便是现代化厨房的装修基本要求。颜色的选择以清洁、卫生为主。本案设计的厨房,为了更加合理,采光更好,敲掉了部分墙面,扩大门洞面积。橱柜选用镜面板饰面的整体橱柜,更好的打造了一个现代化的厨房空间。 5.卫生间 卫生间是家庭成员进行个人卫生的重要场所,是具有便溺和清洗双重功能的特定环境,实用性强,利用率高,应该合理巧妙的利用每一寸面积。卫生间虽小,但也应讲究协调、规整。本案设计这个卫生间,都做了些改动。卫生间改变了门洞位置,增加了一面推拉门,使卫生间区分干湿区域,让卫生间可以干净、清洁,从主卧室和小孩房进入主卫空间,更加合理化了卫浴空间。 6.主卧 主卧是主人休息的区域,主卧的设计空间合理简洁,梳妆台放在窗边,可以让主人在梳妆台照镜子或化妆等方便明度的照射,衣柜放于床边让空间不会有那么拥挤,床边和飘窗结合一起,可以使主人在休息的时候可以利用飘窗布局这块看书或休息。

基于单片机的电子琴的设计课程设计说明

基于单片机的电子琴的设计课程设计说明

专业工程设计说明书 题目:基于单片机的电子琴设计院(系):电子工程与自动化学院专业:测控技术与仪器(卓越)学生姓名:侯雪磊 学号:1100820411

指导教师:陈寿宏 2013 年9 月18 日

随着人们生活水平的提高,对音乐的演唱和演奏成为了生活中一种不错的休闲娱乐方式。小小的电子琴可以给人们带来美好的回忆,提高人们的精神文化享受同时能具有音乐盒的播放功能。但是传统音乐盒多是机械型的,体积笨重,发音单调,不能实现批量生产。本文设计的电子琴是以单片机为核心元件,体积小,重量轻,能演奏和旋音乐,功能多,外观效果多彩,使用方便,并具有一定的商业价值、和发展潜力。 基于单片机的电子琴设计以AT89S52单片机作为系统的核心控制部分,通过制作硬件电路和用C语言编写的主控芯片控制程序,并用Keil软件进行编译,然后进行软硬件的调试运行,最终达到设计电路的乐器演奏、点歌、显示功能、LED进行节拍指示。设计中应用中断系统和定时/计数原理控制演奏器发声。可以用它来弹奏和播放乐曲。 特点是设计思路简单、清晰、成本低、实用,可以根据个人意愿改变想要播放的音乐。避免了机械音乐盒体积笨重、发音单调等一系列缺点,使得本设计具有一定的商业价值。 关键词: 电子琴; AT89S52单片机;数码管;LED灯;音调;节拍。

1绪论 (5) 1.1 电子琴的相关知识 (5) 1.2 设计任务要求 (5) 1.3 设计方案简介 (5) 2 设计方案论证 (5) 2.1 控制芯片的选择…………………………………………………………… 5 2.2 LED灯电路的选择 (5) 2.3 声音播放电路的选择 (5) 3 系统硬件设计及说明 (6) 3.1 系统组成及总体框图 (6) 3.2 元件简介 (6) 3.2.1 AT89S52 (6) 3.2.2 LM386 (7) 3.2.3 LED数码管……………………………………………………………… 7 3.3 各功能模块原理图 (8) 3.3.1 控制电路的设计 (8) 3.3.2 时钟振荡电路设计 (8) 3.3.3 数码管显示电路设计 (9) 3.3.4 LED显示电路设计 (9) 3.3.5 键盘电路的设计 (9) 3.3.6 声音播放电路的设计 (10) 3.3.7复位电路的设计 (10) 3.4 电路总体构成 (10) 4 系统软件设计 (11) 4.1 音乐相关知识 (11) 4.2 软件程序设计 (11) 4.2.1 主程序流程图 (11) 4.2.2 按键子程序流程图 (12) 4.3程序编写 (14) 4.3.1 程序开头以及参数定义 (14) 4.3.2 延时、定时器初始化程序 (15) 4.3.3 音乐演奏程序 (16) 4.3.4 主程 序 (17) 4.3.5键盘检测程序 (17) 5 组装调试 (21) 5.1 检查硬件连接 (21)

简易抢答器制作(完成版)

电子技术综合训练 设计报告 题目:简易抢答器制作 姓名: 学号: 班级: 同组成员: 指导教师: 日期:

摘要 本文讲述的简易抢答器的主要是由抢答电路、计时电路、报警电路、主持人控制电路组成。当主持人按下主控制开关,抢答开始,计时也开始,如果有人抢答,计时停止,主持人打开主控制开关,抢搭清零,当开关再次闭合时,新一轮抢答开始;如果三十秒后无人抢答,则报警电路动作,开始报警,一秒后自动解除,主持人打开开关,当开关再次闭合时,新一轮抢答开始。 关键词:抢答器,计时电路

目录 摘要 (2) 1、设计任务和要求 (4) 1.1设计任务 (4) 1.2设计要求 (4) 2、系统设计 (5) 2.1系统要求 (5) 2.2方案设计 (5) 2.3系统工作原理 (5) 3、单元电路设计 (6) 3.1抢答电路 (6) 3.11电路结构 (6) 3.12仿真图 (6) 3.13元器件及参数 (6) 3.2计数电路 (10) 3.21电路结构 (10) 3.22仿真图 (11) 3.23元器件及其参数 (11) 3.3报警电路 (15) 3.31电路结构 (15) 3.32仿真电路 (15) 3.33元器件及其参数 (16) 3.4直流稳压电源的设计 (16) 4、系统仿真 (18) 5、电路安装、调试、与测试 (20) 5.1电路安装 (20) 5.2电路调试 (20) 5.3测试结果及分析 (20) 结论 (21) 参考文献 (22) 总结、体会和建议 (23) 附录 (23) 元器件清单 (23)

1、设计任务和要求 1.1设计任务 设计并制作一个简易数字抢答器 1.2设计要求 (1)抢答组数分为八组,序号分别为S0,S1,S2,S3,S4,S5,S6,S7,优先抢答者按动本组按钮,组号立即在LED显示器上显示,同时封锁其他组的按键信号。(2)系统设置外部清除键,按动清除,LED显示器自动清零灭灯。 (3)数字抢答器定时为30s,启动开始键后,要求30秒定时器开始工作,发光二极管点亮。 (4)抢答者在30秒内进行抢答,抢答有效,如果30秒定时到时,无抢答者,则本次抢答无效,系统短暂报警。 (5)电源:220v/50Hz的工频交流电供电;(注:直流电源部分只完成设计部分即可,不需制作,用实验室提供的稳压电源调试,但要求设计的直流电源满足电路要求) (6)按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim或CAD/PspiceAD9.2进行仿真,用万用版焊接原件,制作电路,完成调试、测试、撰写设计报告。

室内设计毕业设计说明书

你如果认识从前的我,也许会原谅现在的我。 宜宾滨江国际陈女士 现代简约风格之夏日清新 系部电子信息工程 专业名称电脑艺术设计 班级电艺1101班 姓名郑亚兰 学号 201012530 指导教师杨博 2012年9月15日 目录 1 题目来源 1 2 市场调查 1 2.1调查对象与范围 1 2.1.1青年时尚族1 2.1.2网上青年一族 1 2.1.3 外来代表前卫设计的装饰设计公司 1 2.2 调查结果 1 2.2.1 多数人员对装修的看法 1 2.2.2 家装公司对装修的看法 1 3 设计思想定位 1 4 设计创意说明 1 4.2 各房间说明 2 4.2.1 客厅 2 4.2.2 卧室 2 4.2.3 餐厅 2 5 结论 1 6 致谢 1

现代简约风格之夏日清新 1 题目来源 本设计是导师提供线索 以滨江国际陈女士的两室两厅居室设计案例作为毕业设计选题依据 在导师的带领下去实地量房 并与陈女士多次商议 结合各方面的建议 最终确定了设计风格 在此基础上 还进行了不同层次设计风格的市场调查 确定设计题目 本设计题目具有市场实用性 2 市场调查 陈女士是当代青年时尚一族 追求室内环境不仅给人舒服美好的感觉 也能从中体现她个人的修养、品味及爱好 为确定房间最后的具体风格前 在宜宾市场和网上都作了各层次广泛的调查 具体情况如下: 2.1调查对象与范围 (1)不同岗位青年时尚族(22-28岁)20人 (2)宜宾代表前卫设计的装修设计公司三家(宜宾鹏飞装饰公司、业之峰装饰公司、腾祥装饰公司) 2.2 调查结果 2.2.1当代青年时尚族对装饰综合看法 (1)新潮、前卫 不易过时 (2)浪漫、体现家庭个性思想 (3)环保、节能等从分考虑人性化 (4)风格自然而简约 装修花蓄适中不能太多 (5)装修材料 房屋电路等安装安全耐用 2.2.2家装公司的综合看法:

EDA课设电子琴设计说明

理工大学《电子线路EDA》课程设计 课程设计任务书 学生: - 专业班级:电子科学与技术0903班指导教师:成军工作单位:信息工程学院题目: 简易电子琴设计 初始条件: QUARTUS 软件,微机, EDA-IV型实验箱。 课题要求: 结合所学的知识,利用QUARTUS采用VHDL语言设计乐曲演奏电路,可以演奏8个音符:1、2、3、4、5、6、7、1。 课题容: 时间安排: 1、2011年6月11日集中,听老师作课设安排与报告格式要求说明。 2、2012年6月12日至6月16日,选好课题,学习相关资料,开始课 设。 3、2012年6月17日至6月19日,进行硬件调试。 4、2012年6月20至6月21日,撰写实验报告。 5、2012年6月22日,检查硬件,进行答辩,提交设计报告。 设计一个简易的八音符电子琴,可以通过按键输入来控制蜂鸣器输出不同音调。指导教师签名: 2012年月日系主任(或责任教师)签名: 2012年月日理工大学《电子线路EDA》课程设计 目录 摘要.................................................................... (3) Abstract ............................................................. . (4) 绪论.................................................................... (5) 1设计简介.................................................................... . (6)

基于74LS175芯片的四人抢答器设计

成绩 电子科学与技术系 学年论文 中文题目:基于74LS175芯片的四人抢答器设计 英文题目: Based on the 74LS175 chip of chip of the design of vies to answer first four people 姓名:支晓东 学号:1207010331 专业名称:电子科学与技术 指导教师:梅金硕 2015年6月12日

基于74LS175芯片四人抢答器的设计作者:支晓东哈尔滨理工大学电子科学与技术系 [内容摘要]:随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对它的认识也逐步加深。人们也利用了电子技术以及相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问题中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。触发器是数字电路中的基本逻辑记忆单元,具有两个稳定状态用以表示逻辑状态“l”和“0”。在电路中它具有记忆信号的功能,是构成各种时序电路的最基本逻辑单元.本文通过对抢答器电路设计的详细分析,以加深对触发器的功能以及其应用的认识和理解。数字抢答器由主体电路与扩展电路组成。触发器电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过两个与门电路实现屏蔽功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。 供四人用的竞赛抢答器装置线路,用以判断抢答优先权。它有三部分构成:1个D触发器74LS175,它具有置0端,置1端和CP端;1个4输入与门,1个二输入与门;四个轻触开关,它们组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q 1非~Q 4非全为1,所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与门送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止. [关键词]:数字电路设计;触发器;优先抢答

相关文档
最新文档