第2 章 16 位微机原理及其程序设计实验

第2 章 16 位微机原理及其程序设计实验
第2 章 16 位微机原理及其程序设计实验

第2 章 16 位微机原理及其程序设计实验

本章主要介绍汇编语言程序设计,通过实验来学习80X86 的指令系统、寻址方式以及程序的设计方法,同时掌握联机软件的使用。

2.1 系统认识实验

2.1.1 实验目的

掌握TD 系列微机原理及接口技术教学实验系统的操作,熟悉Wmd86 联机集成开发调试软件的操作环境。

2.1.2 实验设备

PC 机一台,TD-PITE 实验装置或TD-PITC 实验装置一套。

2.1.3 实验内容

编写实验程序,将00H~0FH 共16 个数写入内存3000H 开始的连续16 个存储单元中。

2.1.4 实验步骤

1. 运行Wmd86 软件,进入Wmd86 集成开发环境。

2. 根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言和寄存器类型,这里我们设置成“汇编语言”和“16 位寄存器”,如图2.1、图2.2 所示。设置选择

后,下次再启动软件,语言环境保持这次的修改不变。本章选择16 位寄存器。

80X86 微机原理及接口技术实验教程西安唐都科教仪器公司

15

图2.1 语言环境设置界面

图2.2 寄存器设置界面

3. 语言和寄存器选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图2.3 所示。

默认文件名为Wmd861。

图2.3 新建文件界面

4. 编写实验程序,如图2.4 所示,并保存,此时系统会提示输入新的文件名,输完后点击保存。

80X86 微机原理及接口技术实验教程西安唐都科教仪器公司

16

图2.4 程序编辑界面

5. 点击,编译文件,若程序编译无误,则可以继续点击进行链接,链接无误后方可

以加载程序。编译、链接后输出如图2.5 所示的输出信息。

图2.5 编译输出信息界面

6. 连接PC 与实验系统的通讯电缆,打开实验系统电源。

7. 编译、链接都正确并且上下位机通讯成功后,就可以下载程序,联机调试了。可以通过端口列表中的“端口测试”来检查通讯是否正常。点击下载程序。为编译、链接、下载组合按钮,通过该按钮可以将编译、链接、下载一次完成。下载成功后,在输出区的结果窗中

会显示“加载成功!”,表示程序已正确下载。起始运行语句下会有一条绿色的背景。如图2.6

所示。

80X86 微机原理及接口技术实验教程西安唐都科教仪器公司

17

图2.6 加载成功显示界面

8. 将输出区切换到调试窗口,使用D0000:3000 命令查看内存3000H 起始地址的数据,如图2.7 所示。存储器在初始状态时,默认数据为CC。

图2.7 内存地址单元数据显示

9. 点击按钮RUN运行程序,待程序运行停止后,通过D0000:3000 命令来观察程序运行结

80X86 微机原理及接口技术实验教程西安唐都科教仪器公司

18

果。如图2.8 所示。

图2.8 运行程序后数据变化显示

10. 也可以通过设置断点,断点显示如图2.9 所示,然后运行程序,当遇到断点时程序会停下来,然后观察数据。可以使用E0000:3000 来改变该地址单元的数据,如图2.10 所示,

输入11 后,按“空格”键,可以接着输入第二个数,如22,结束输入按“回车”键。

图2.9 断点设置显示

图2.10 修改内存单元数据显示界面

实验例程文件名为Wmd861.asm。

2.1.5 操作练习

编写程序,将内存3500H 单元开始的8 个数据复制到3600H 单元开始的数据区中。通过调试验证程序功能,使用E 命令修改3500H 单元开始的数据,运行程序后使用D 命令查看

3600H 单元开始的数据。

微机原理第4章练习题及答案

第4章 80x86指令系统 一、自测练习题 ㈠选择题 1.MOV AX,[BX+SI]的源操作数的物理地址是( )。 A.(DS)×16+(BX)+(SI) B. (ES)×16+(BX)+(SI) C.(SS)×10H+(BX)+(SI) D.(CS)×10H+(BX)+(SI) 2.MOV AX,[BP+Sl]的源操作数的物理地址是( )。 A.(DS)×10H+(BP)+(SI) A. (ES)×16+(BP)+(SI) C.(SS)×16+(BP)+(SI) D.(CS)×10H+(BP)+(SI) 3.MOV AX,ES:[BX+SI]的源操作数的物理地址是( )。 A.(DS)×16+(BX)+SI) B.(ES)×10H+(BX)+(SI) C.(SS)×10H+(BX)+SI) D.(CS)×16+(BX)+(SI) 4.JMP WORD PTR[DI]是( )。 A.段内间接转移B.段间间接转移 C.段内直接转移D.段间直接转移 5.JMP FAR PTR BlOCK(BLOCK是符号地址)是( )。 A.段内间接转移B.段间间接转移 C..段内直接转移D.段间直接转移 6.INC指令不影响( )标志。 A.OF B.CF C.SF D.ZF 7.条件转移指令JNE的测试条件是( )。 A.ZF=1 B.CF=0 C.ZF=0 D.CF=1 8.下列指令中,有语法错误的是( )。 A.MOV [SI],[DI] B.IN AL,DX C.JMP WORD PTR[BX+8] D.PUSH WORD PTR 20[BX+S1] 9.假定(SS)=2000H,(SP)=0100H,(AX)=2107H,执行指令PUSH AX后,存放数据21H的物理地址是()。 A.20102H B.20101H C.200FEH D.200FFH 10.对于下列程序段: AGAIN:MOV AL,[SI] MOV ES:[DI],AL INC SI INC DI LOOP AGAIN 也可用指令()完成同样的功能。 A.REP MOVSB B.REP LODSB C.REP STOSB D.REPE SCASB 11.对于下列程序段: AGAIN:MOV ES:[DI],AL INC DI LOOP AGAIN 可用指令()完成。

微机原理与接口技术实验指导_4_循环程序设计实验

2.4 循环程序设计实验 2.4.1 实验目的 1、掌握循环程序的设计方法。 2、掌握比较指令、转移指令和循环指令的使用方法。 3、进一步掌握调试工具的使用方法。 2.4.2 实验预习要求 1、复习比较指令、条件转移指令和循环指令。 2、复习循环程序的结构、循环控制方法等知识。 3、读懂“2.4.3 实验内容”中给出的将十进制数转换为二进制数以及将二进制数转换为十进制数的程序。 4、根据“2.4.3 实验内容”中给出的流程图和程序框架编写源程序,以便上机调试。 5、从“2.4.4 实验习题”中任选一道题目,编写源程序,以便上机调试。 2.4.3 实验内容 计算1+2+……n=?,其中n通过键盘输入。要求在屏幕上提供如下信息: Please input a number(1 627): ;出现此信息后通过键盘输入一个小于628的无符号整数 1+2+…..n=sum;其中n为用户输入的数,sum为所求的累加和 程序运行情况如下图所示(说明:图中所运行程序允许累加和不大于一个32位二进制数所能表示 的范围)。 1、编程指导 (1)键盘输入的十进 制数如368在计 算机中是以 33H,36H,38H 形式存放的,如 何将它们转换为 一个二进制数 101110000B,以便对累加循环的循环次数进行控制是本程序首先要解决的问题。将键盘输入的十进制数转换为二进制数的程序清单如下: DA TA SEGMENT INF1 DB "Please input a number (0-65535):$" IBUF DB 7,0,6 DUP(?) DA TA ENDS CODE SEGMENT ASSUME CS: CODE, DS:DA TA START: MOV AX, DA TA MOV DS, AX MOV DX, OFFSET INF1 MOV AH, 09H INT 21H MOV DX, OFFSET IBUF ;键入一个十进制数(<65535) MOV AH, 0AH INT 21H MOV CL, IBUF+1 ;十进制数的位数送CX MOV CH, 0

微机原理实验简易计算器

【实验题目】 简易计算器设计 【实验目的】 综合测试学生微机接口技术及应用能力,包括系统构思设计、电路设计搭建、软件调试等; 结合应用实际,培养学生运用微机技术服务应用、服务实际的能力。 【基本要求】 1)利用实验箱上的4x4键盘及6位数码管,实现两个16位宽的非负整数(0~65535)进行+、-、×运算,计算结果限制在范围-65535~65535,超过范围在数码管最低位显示E; 2)16个按键的分配可以自行指定; 【扩展要求】 1)按基本要求保持输入的范围不变(16位宽),扩展计算结果的范围到用足6位数码管,当计算结果超过-65535~999999时,显示E; 2)增加÷的功能,有小数显示; 【实验程序】 ;该程序实现了基本要求及扩展要求的2) DSEG SEGMENT BUFF DB 6 DUP(?) LED_7 DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,77H,7C H,39H,5EH,79H,71H,00H,40H POSITION DB 0DFH,0EFH,0F7H,0FBH,0FDH,0FEH UNIT10 DW 10000,1000,100,10,1 NEWNUM DB 0 COUNT DB 0 FLAG DB 0 ;是否有键按下的标志 NEGTI DB 0 ;是否为负数的标志 NUM DW 0 NUM1 DW 0 NUM2 DW 0 TAG DB 0 ;运算种类标志 POINT DB 0 ;除法结果添加小数点标志 RESULT DW 0 DSEG ENDS CSEG SEGMENT ASSUME DS:DSEG,CS:CSEG START: MOV AX,DSEG MOV DS,AX MOV DX,300CH ;8255初始化 MOV AL,81H OUT DX,AL LEA SI,BUFF MOV CX,6 NEXT: MOV BYTE PTR[SI],16 INC SI LOOP NEXT CALL SHOW ;将显示缓冲区中内容在LED上一次显示出来 MOV COUNT,0 ;记按下了几位数 NEXT2: CALL SHOW CALL SCAN ;判断是否有按键按下 CMP FLAG,1 JZ OK JMP NEXT2 OK: MOV FLAG,0 MOV POINT,0 MOV DX,3000H ;判断是哪一个键被按下 MOV AL,0FFH OUT DX,AL MOV CH,-1 ;CH用于保存当前被扫描的列号MOV CL,07FH XL: ROL CL,1 INC CH MOV DX,3000H MOV AL,CL .

微机原理及应用 第2章 习题及答案

CH02 8086/8088指令系统 习题与思考题 1.假定DS=2000H,ES=2100H,SS=1500H,SI=00A0H,BX=0100H,BP=0010H,数据变量VAL的偏移地址为0050H,请指出下列指令源操作数是什么寻址方式?源操作数在哪里?如在存储器中请写出其物理地址是多少? (1)MOV AX,0ABH (2)MOV AX,[100H] (3)MOV AX,VAL (4)MOV BX,[SI] (5)MOV AL,VAL[BX] (6)MOV CL,[BX][SI] (7)MOV VAL[SI],BX (8)MOV [BP][SI],100 解答: (1)MOV AX,0ABH 寻址方式:立即寻址;源操作数在数据线上;物理地址:无 (2)MOV AX,[100H] 寻址方式:直接寻址;源操作数在存储器中;物理地址:DS*16+100H=2000H*16+100H=20100H (3)MOV AX,VAL 寻址方式:直接寻址;源操作数在存储器中;物理地址:DS*16+VAL=2000H*16+0050H=20050H (4)MOV BX,[SI] 寻址方式:寄存器间接寻址;源操作数在存储器中;物理地址:DS*16+SI=2000H*16+00A0H=200A0H (5)MOV AL,VAL[BX] 寻址方式:变址寻址;源操作数在存储器中;物理地址:DS*16+VAL+BX=2000H*16+0050H+0100=20150H (6)MOV CL,[BX][SI] 寻址方式:基址加变址寻址;源操作数在存储器中;物理地址:DS*16+BX+SI= 2000H*16+0100H+00A0H =201A0H (7)MOV VAL[SI],BX 寻址方式:寄存器寻址;源操作数在寄存器中;物理地址:无 (8)MOV [BP][SI],100 寻址方式:立即寻址;源操作数在;物理地址:无 .设有关寄存器及存储单元的内容如下:2. DS=2000H,BX=0100H,AX=1200H,SI=0002H,[20100H]=12H,[20101H]=34H,[20102H]=56H,[20103]=78H,[21200]=2AH,[21201H]=4CH,[21202H]=0B7H,[21203H]=65H。

微机原理及应用实验(题目)

微机原理及应用实验 实验一开发环境的使用 一、实验目的 掌握伟福开发环境的使用方法,包括源程序的输入、汇编、修改;工作寄存器内容的查看、修改;内部、外部RAM内容的查看、修改;PSW中个状态位的查看;机器码的查看;程序的各种运行方式,如单步执行、连续执行,断点的设置。二、实验内容 在伟福开发环境中编辑、汇编、执行一段汇编语言程序,把单片机片内的 30H~7FH 单元清零。 三、实验设备 PC机一台。 四、实验步骤 用连续或者单步的方式运行程序,检查30H-7FH 执行前后的内容变化。五、实验思考 1.如果需把30H-7FH 的内容改为55H,如何修改程序? 2.如何把128B的用户RAM全部清零? 六、程序清单 文件名称:CLEAR.ASM ORG 0000H CLEAR: MOV R0,#30H ;30H 送R0寄存器 MOV R6,#50H ;50H 送R6寄存器(用作计数器) CLR1: MOV A,#00H ;00 送累加器A MOV @R0,A ;00 送到30H-7FH 单元 INC R0 ;R0 加1 DJNZ R6,CLR1 ;不到50H个字节,继续 WAIT: LJMP WAIT END 实验二数据传送 一、实验目的 掌握MCS-51指令系统中的数据传送类指令的应用,通过实验,切实掌握数据传送类指令的各种不同的寻址方式的应用。 二、实验内容 1.编制一段程序,要求程序中包含7中不同寻址方式。 2.编制一段程序,将片内RAM30H~32H中的数据传送到片内RAM38H~3AH中。 3.编制一段程序,将片内RAM30H~32H中的数据传送到片外RAM1000H~1002H 中。 4.编制一段程序,将片内RAM40H~42H中的数据与片外RAM2000H~2002H中的数据互换。 三、实验设备 PC机一台。

微机原理实验

;实验一汇编程序、链接程序、编辑程序及调试程序得基本使用方法 ;一、实验目得 ;1.熟悉在PC机上建立、汇编、连接、调试与运行汇编语言程序得过程。 ;2.掌握字符串得复制,并会用各种方法实现。 ;3.掌握字符串显示得DOS功能调用。 ;二、实验内容及要求 ;1.将以符号地址为ARRAY1开始得字符串“请自己定义”复制到ARRAY2开始得存储单元中并显示在屏幕上。 ;2、使用三种方法传送: ;(1) 用MOV指令传送 ;(2) 用基本串传送指令 ;(3) 重复串传送指令 MY_DATA SEGMENT PARA 'DATA';数据段 DISP1 DB 'How are you?',0aH,0DH,'$'; ARRAY1 DB 'DI',41H,'NZI09','$'; ARRAY2 DB 20 dup(0) MY_DATA ENDs MY_CODE SEGMENT PARA 'CODE' ;代码段 MY_PROC PROC FAR ASSUME CS:MY_CODE, DS:MY_DATA START: MOV AX,MY_DA TA MOV DS,AX MOV ES,AX LEA DX,DISP1;显示提示字符串 MOV AH,09H INT 21H ;;开始(1) 用MOV指令传送 ;LEA SI,ARRAY1; ;LEA DI,ARRAY2; ;MOV CX,09H LOOP1: ;MOV BH, [SI] ;MOV [DI], BH ;INC SI ;INC DI ;LOOP LOOP1 ;;开始(2) 用基本串传送指令 LEA SI,ARRAY1; LEA DI,ARRAY2; MOV CX,09H CLD LOOP2: MOVSB LOOP LOOP2 ;;开始(3) 重复串传送指令 ;LEA SI,ARRAY1;

微机原理及应用 第4章 习题及答案

CH04 存储系统 习题与思考题 1.存储器的哪一部分用来存储程序指令及像常数和查找表一类的固定不变的信息?哪一部分用来存储经常改变的数据? 解答:只读存储器ROM;随机存储器RAM。 2.术语“非易失性存储器”是什么意思?PROM和EPROM分别代表什么意思? 解答:“非易失性存储器”是指当停电后信息会丢失;PROM--可编程序的只读存储器PROM(Programmable ROM),EPROM--可擦除的可编程的只读存储器EPROM(Erasible Programmable ROM)。 3.微型计算机中常用的存储器有哪些?它们各有何特点?分别适用于哪些场合? 解答: 双极型半导体存储器 随机存储器(RAM) MOS存储器(静态、动态) 主存储器可编程只读存储器PROM 可擦除可编程只读存储器EPROM,EEPROM 只读存储器(ROM)掩膜型只读存储器MROM 快擦型存储器 存储器磁盘(软盘、硬盘、盘组)存储器 辅助存储器磁带存储器 光盘存储器 缓冲存储器 4.现代计算机中的存储器系统采用了哪三级分级结构,主要用于解决存储器中存在的哪些问题? 解答:目前在计算机系统中通常采用三级存储器结构,即使用高速缓冲存储器、主存储器和辅助存储器,由这三者构成一个统一的存储系统。从整体看,其速度接近高速缓存的速度,其容量接近辅存的容量,而位成本则接近廉价慢速的辅存平均价格。三级结构主要用于解决速度、容量和成本的问题。 5.试比较静态RAM和动态RAM的优缺点,并说明有何种方法可解决掉电时动态RAM中信息的保护。 解答:静态RAM----存储一位信息的单元电路可以用双极型器件构成,也可用MOS器件构成。双极型器件构成的电路存取速度快,但工艺复杂,集成度低,功耗大,一般较少使用这种电路,而采用MOS器件构成的电路。静态RAM的单元电路通常是由6个MOS 管子组成的双稳态触发器电路,可以用来存储信息“0”或者“1”,只要不掉电,“0” 或“1”状态能一直保持,除非重新通过写操作写入新的数据。同样对存储器单元信息的读出过程也是非破坏性的,读出操作后,所保存的信息不变。使用静态RAM的优点是访问速度快,访问周期达20~40ns。静态RAM工作稳定,不需要进行刷新,外部电

微机原理与应用第四次实验报告

微机原理与应用第四次实验报告 实验6 汇编语言程序结构 一、实验目的 1.掌握汇编语言项目上机过程 2.掌握汇编语言程序结构和常用伪操作 3.掌握汇编语言程序设计和调试方法 4.掌握MSP430F1xx基本I/O控制方法 二、实验任务 1.学习汇编指示性语句(可在simulator下完成) 在EWH8下新建项目Lab_6,编写下面程序L6_directives.s43(程序清单见后页,该程序只是为了学习指示性语句,程序本身并没有实现什么特定功能),利用project/remove移出模板文件test_asm.s43,点击project/add/files将L6_directives.s43添加到项目中。 1) 利用EW430下的view/memory查看DATA16_C段中定义的存储单元内容和地址,在memory/go的窗口内输入存储单元的符号(如number1)即可查看到该符号对应的存储单元地址和内容,注意在L6_directives.s43程序中使用这些存储单元的内容和地址的方法;利用view/disassembly反汇编程序,对比源程序,利用每条指令后的注释,学习其中用到的指示性操作,如:#define、SFB、SFE、SIZEOF、$等,并能在今后的程序设计中使用。 2) 在L6_directives.s43中,number4和number1分别被定义成了什么?二者有何不同? #number4和#number1这两个操作有何不同?MOV #number4, R7和MOV number4, R7执行的结果会相同吗?为什么? 3) 如图6-1所示,点击项目中的msp430x14x.h文件,了解该文件中用到的指示性语句,今后的实验中会用到该文件中的定义。 答

微机原理实验2

微机原理实验 (第二次) 仅供参考不予下载

2.2 字符及字符串输入输出与顺序程序设计实验 2.2.1 实验目的 1、学习和掌握字符及字符串的输入输出方法。 2、掌握顺序程序的设计方法。 3、进一步掌握调试工具的使用方法。 2.2.2 实验预习要求 1、复习DOS功能调用中用于字符输入(功能号01H)、字符输出(功能号02H)、字符串输入(功能 号为0AH)以及字符串输出(功能号09H)的调用方法(详见教材5.5.6)。 2、复习BCD码运算调整指令。 3、根据“2.2.3 实验内容”中给出的源程序框架编写完整的源程序,以便实验时调试。 4、从“2.2.4 实验习题”中任选一道题目,编写源程序,以便上机调试。 2.2.3实验内容 从键盘输入两个一位十进制数,计算这两个数之和,并将结果在屏幕上显示出来。 1、问题分析 比如使用功能号为01H的用于实现单个字符输入的DOS功能调用接收从键盘输入的两个十进制数8和6,这时计算机内部得到的是这两个数的ASCII码值38H和36H。由于数字09的ASCII码值与其代表的数值之间相差30H,因此将其减去30H即可得到以非压缩型BCD数形式表示的十进制数08H和06H,使用ADD指令对它们进行相加后结果为0EH(00001110B),显然需要用非压缩型BCD数加法调整指令对ADD的运算结果进行调整,调整后得到两个非压缩型BCD数01H和04H,将它们分别加上30H后变为其对应的ASCII码31H(1的ASCII码)和34H(4的ASCII码),然后调用功能号为02H用于单个字符输出的DOS功能调用将它们显示出来。综上所述,需要考虑以下问

微机原理与接口技术(楼顺天第二版)第二章习题解答

微机原理与接口技术(楼顺天第二版)第二章习题解答 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

微机原理与接口技术(楼顺天第二版)习题解答 第2章 8086CPU的结构与功能 2.1 答:微处理器内部结构由四部分组成: (1)算术逻辑运算单元ALU:完成所有的运算操作; (2)工作寄存器:暂存寻址信息和计算过程中的中间结果; (3)控制器:完成指令的读入、寄存和译码,并产生控制信号序列使ALU完成指定操作; (4)I/O控制逻辑:处理I/O操作。 2.2 答:微处理器级总线有三类:(1)数据总线:传送信息;(2)地址总线:传送地址码;(3)控制总线传送控制信号。 2.3 答:地址码只能由CPU生成。而数据需要在CPU和存储器之间传输。 2.4 答:8086CPU对存储器按20位地址编址,从00000H~FFFFFH;IO端口按16位编址,从0000H~FFFFH。为独立编址方式。 统一编址优点为存储器与I/O端口访问指令一致,寻址方式多,缺点是I/O 端口地址占用了一定范围的存储器地址;独立编址的优点是存储器与I/O端口有各自的地址,缺点是需要有专门的指令,使得指令系统复杂。 2.5 8086CPU按内部功能可分为BIU和EU两部分。BIU主要完成取指令、存储数据操作;EU的功能是执行指令规定的操作。 EU和BIU可以独立、并行执行,但相互之间会有协作。当指令队列中还没有指令时,EU处于等待状态,当EU执行指令需要访问存储器或I/O端口时,BIU应尽快完成存取数据的操作。

2.6 答:8086CPU内部有14个16位寄存器,其中8个通用寄存器(4数据寄存 器AX、BX、CX、DX,4地址指针/变址寄存器SI、DI、SP、BP),4个段寄存器(CS、DS、ES、SS),2个控制寄存器(指令指针IP,微处理器状态字PSW)。 应该注意的是:可以在指令中用作为地址指针的寄存器有:SI、DI、BP和BX;在微处理器状态字PSW中,一共设定了9个标志位,其中6个标志位用于反映ALU前一次操作的结果状态(CF,PF,AF,ZF,SF,OF),另3个标志位用于控制CPU操作(DF,IF,TF)。 2.7 答:IBM PC有段地址寄存器(CS, DS, ES,SS)和基址、变址寄存器(BX, BP, SI, DI)来指示存储器地址。 2.8 答:(1)若为有符号数,则0FEH为负数,02H为正数,相加无溢出;(2)若为无符号数,则相加有溢出; (3)有符号数相加根据OF标志,无符号数相加,根据CF标志判断。 2.9 答:(1)存储器地址空间为:20 = 21MB (2)有符号数范围为:1515 --,即-32768~32767 2~21 2.10 答:字型数据低位字节存低地址,高位字节存高地址;由于8086有16为 数据总线,一次可以读写16位数据,即2个字节,8086的存储器组织分奇地址存储体和偶地址存储体,若在存储器访问中,一次读写一个字(2个字节),且存储器的地址为偶地址,则认为是对准的,否则,是为对准的。8086对对准的字操作通过一个总线周期完成,对未对准的字操作需要通过两个总线周期完成。 2.11 答:

第四次微机原理与接口技术实验报告

微机原理与接口技术第四次实验报告 刘兵 12282009 生医1201班

目录 第一次实验:实验 6 8254 定时/ 计数器实验 (1) 第二次实验:实验 3 六位数码管显示实验 (4) 实验 5 小键盘按键识别实验 (8) 实验 2 拨动开关和LED 显示实验 (15) 实验8 8255 方式1输出. (17) 实验13 继电器实验 (20) 第三次实验: 实验10 8 位D/A 转换实验 (23)

第一次实验:实验6 8254定时/计数器实验 一实验目的 熟悉8254在系统中的电路接法,掌握8254 的工作方式及应 用编程。 二实验内容 使用8254两个计数器级连,采用定时方式工作,周期性的点亮及熄灭发光二极管各0.5秒。 三实验原理 (1)接线图: (2)流程图 FMIII { I.M Ki GA l'F-n 门ho ]i TLnn 32 54 32 54 lfcl HZ

I l"J 數器0送卸值JOOO 先送低宁声肩送宁节 . 丄厂设计故閱L为 丄作-1-V.3 向讦-較WM这i列ittiooo 先送悻宁节后送高宇节 L丄、 四程序清单及注释 .Model small .386 DATA SEGMENT D1 DB 10 DUP('4') D2 DB 20 DUP('3') DATA ENDS CODE SEGMENT ASSUME CS:CODE, DS:DATA BEG: MOV AX,DATA MOV DS,AX MOV AL,37H MOV DX,203H

OUT DX,AL MOV AX,1000 ; 1000控制LED闪烁频率 MOV DX,200H OUT DX,AL MOV AL,AH OUT DX,AL MOV AL,77H MOV DX,203H OUT DX,AL MOV AX,1000 ; 1000控制LED闪烁频率 MOV DX,201H OUT DX,AL MOV AL,AH OUT DX,AL CODE ENDS END BEG 四问题及体会 (1)初次使用TPC-386EXL编,对软件的使用不够熟悉,对输出输入接口的确定还有些模糊,需要继续尝试加以提高; (2)TPC-386EX环境与下位机的匹配是一个常见的错误,实验中多次显示“请先传送文件”提示,后来发现是两者间接口连接出现问题,以后实验中会注意。

微机原理实验报告西安交通大学

西安交通大学 电子信息与工程学院自动化科学与技术系微机原理与接口技术实验报告 实验名称:微机原理与接口技术 实验者姓名: XX 实验者学号:21105040XX 所在班级:自动化1X 报告完成日期:2014年1月12日

实验一 数据传送、算术运算、循环程序结构 1、实验目的 a)熟悉8086汇编语言源程序的框架结构,并掌握汇编语言程序的编写、汇 编、连接、执行的过程,并利用Turbo Debugger调试汇编程序。 b)熟悉8086指令系统的数据传送指令,掌握寻址方式。 c)熟悉8086指令系统的算术运算指令。掌握循环结构汇编语言程序的编制。 2、实验内容 教材P121,第14、15题。教材P195,第6题。教材P196,第12题。 3、具体实验 第一题(P121,第14题) 设有两个8个字节长的BCD码数据BCD1及BCD2。BCD1数以1000H为首地址在内存中顺序存放;BCD2数以2000H为首地址在内存中顺序存放。要求相加后结果顺序存放在以2000H为首地址 的内存区中(设结果BCD数仍 不超过8个字节长)。 a) 实验原理 考虑两个8个字节长的 BCD码相加,首先根据地址要 求将数据放在对应的地址单 元中,然后做加法,BCD码相 加要用到调整指令,结果才 为正确的BCD数 b) 程序框图

c) 程序源代码 DATAS SEGMENT ORG1000H BCD1 DB 01H,02H,03H,04H,05H,06H,07H,88H;起始地址为1000H ORG2000H BCD2 DB 11H,12H,13H,14H,15H,16H,17H,18H;起始地址为2000H DATAS ENDS CODES SEGMENT ASSUME CS:CODES,DS:DATAS START: MOV AX,DATAS MOV DS,AX MOV BX,0 MOV CX,8 ;设置循环次数8次 CLC ;清进位CF标志 AGAIN:MOV AL,[BX+1000H] ADC [BX+2000H],AL;结果放在2000H开始的单元内 DAA INC BX LOOP AGAIN;没完成则转AGAIN循环 MOV AH,4CH INT 21H CODES ENDS END START 运行: BCD1 DB 11H,12H,13H,14H,15H,16H,17H,18H BCD2 DB 21H,22H,23H,24H,25H,26H,27H,28H 结果如下:DS:2000H为首地址的连续八个字节单元中

微机原理实验

实验四:分支、循环程序设计 一. 实验目的 1.学习分支程序、循环结构程序的设计和调试方法; 2.学习子程序的定义和调试方法; 3.掌握分支、循环、子程序等程序的基本结构。 二. 实验设备 TDN 86/51或 TDN 86/88教学实验系统一台 三. 实验内容、步骤及要求 1. 设计一数据块间的搬移程序 数据块搬移的基本原则为: 对于两个分离的数据块,从首地址或从末地址开始传送均可。 对于有部分重叠的情况则: 当源数据块首地址>目的数据块首地址时,从数据块首地址开始传送数据。 当源数据块首地址<目的数据块首地址时,从数据块末地址开始传送数据。 2.求某数据区内负数的个数 设数据区的第一单元存放区内单元数据的个数,从第二单元开始存放数据,在区内最后一个单元存放结果。为统计数据区内负数的个数,需要逐个判断区内的每一个数据,然后将所有数据中凡是符号位为1的数据的个数累加起来,即得区内所包含负数的个数。 3.学生成绩名次表 将分数为1~100之间的10个成绩存入首址为3000H的单元中,3000H+I表示学号为I的学生成绩。编写程序能在3100H开始的区域排出名次表,3100H+I为学号I的学生名次。 4. 求无符号字节序列中的最大值和最小值 设有一字节序列,其存储首地址为3000H,字节数为08H。利用子程序的方法编程求出该序列中的最大值和最小值。 四. 编程练习 (A) 在3500H单元开始中输入数据:09H(数据个数)12H、80H、78H、C8H、00H、00H、FEH、99H、34H,编写程序使其能分别求出数据区中的正数和负数以及零的个数,正数的个数放在3510H单元中,负数的个数放在3511H单元中,零的个数放在3512H单元中. (B) 有三个8位二进制数连续存放在03200H开始的内存单元中,设它们之中至少有两个数是相同的。编写程序找出与另外两数不同的数,把该数所在的地址(段内偏移地址)送到03204H单元,若三个数都相同则送0FFFFH到03204H单元。 (C) 设数据段SCORE单元开始连续存放着20个学生成绩,编写程序统计其中<60,60~69、70~79、80~89,>90分数段的人数,并把统计结果存放到从TOT开始的数据块中。 (数据为:64H,61H,5DH,55H,52H,51H,44H,45H,47H,48H,4DH,4FH,3CH,3FH,40H,41H,33H,56H,59H,30H). (D) 找出一个数据块中的最大数。数据块从偏移地址3002H开始存放,数据块的长度以16位二进制形式存放在3000H、3001H单元,把找出的最大数放到3100H单元中,并把存放最大值的偏移地址存入3101H和3102H的存储单元中。假设数据块中的数都是8位无符号数。 (E) X的值(字节数)分别由3000H、3001H、3002H单元的数决定,对应的结果Y分别存放在3005H、3006H、3007H单元中,完成下列方程: A (X>0) Y= { 0 (X=0)

微机原理实验报告

微机原理 实验报告 学校:湖北文理学院、班级:电子1413 姓名:杨仕浩 学号:2014111347 指导老师:吉向东

实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程。 二、实验内容 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。 三、程序框图 四、实验程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP(?) DATA ENDS STACK SEGMENT STA DB 20 DUP(?)

TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AX,TOP MOV SP,AX MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL A DDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR [SI],30H SUB BYTE PTR [DI],30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC AD2: M OV AL,[SI] MOV BL,[DI] ADC AL,BL AAA MOV [SI],AL DEC SI DEC DI LOOP AD2 MOV SI,DX MOV DI,BP MOV BX,05H AD3: ADD BYTE PTR [SI],30H ADD BYTE PTR [DI],30H DEC SI

c++第二次实验

1. 基本数据类型的长度:编写一个程序,输出基本数据类型char, short, int, long, float, double,bool 的数据类型的长度. #include using namespace std; int main() { cout <<"char 型长度:"< #include using namespace std; int main() { float a,b,c,s,area;

cout <<"输入三角形三边长:"; cin >>a>>b>>c; s=1.0/2*(a+b+c); area=sqrt(s*(s-a)*(s-b)*(s-c)); cout <<"输出三角形面积:"<

微机原理及应用课后答案

第一章 1-1.微处理器、微型计算机和微型计算机系统三者之间有什么不同? 【解】微处理器(CPU),由运算器和控制器组成。运算器完成算术运算和逻辑运算,控制器分析命令并指挥协调各部件统一行动完成命令规定的各种动作或操作。 微型计算机由运算器、控制器、存储器、输入设备、输出设备五大部分组成。 微型计算机系统包括微型计算机硬件和软件。 1-4.说明位、字节、字长的概念及它们之间的关系。 【解】(1) 位(bit)。位是计算机所能表示的最基本最小的数据单位。它只能有两种状态“0”和“1”,即二进制位。 (2) 字(Word)。计算机中作为一个整体参与运算、处理和传送的一串二进制数,是计算机中信息的基本单位。 (3) 字长(Word Length)。计算机中每个字所包含的二进制位数称为字长。 它们之间的关系:字由位构成,字长指每个字所包含的位的个数。 1-5.32位机和准32位机区别 32位机指该机的数据总线宽度为32位,准32位机为芯片内部数据总线宽度是32位,片外则为16位的cpu 第二章 2-1 微型计算机由哪几部分组成,各部分的功能是什么? 【解】微型计算机由运算器、控制器、存储器、输入设备、输出设备五大部分组成。 运算器完成算术运算和逻辑运算;控制器分析命令并指挥协调各部件统一行动完成命令规定的各种动作或操作;存储器存放原始数据、中间结果和最终结果以及程序;输入设备、输出设备与外界交换信息。 2-2.CPU在内部结构上由哪几部分组成,CPU应具备什么功能? 【解】微处理器(CPU)由运算器和控制器组成。 CPU应具备的功能:对数据进行处理并对处理过程进行控制。 2-3 4,6,8,1,9,7,2,5,10,3 2-7:第16字为70A0:DE05 末物理地址为70A00+DE05=7E805 2-8.①通用数据寄存器。四个通用数据寄存器AX、BX、CX、DX均可用作16位寄存器也可用作8位寄存器。用作8位寄存器时分别记为AH、AL、BH、BL、CH、CL、DH、DL。 AX(AH、AL)累加器。有些指令约定以AX(或AL)为源或目的寄存器。实际上大多数情况下,8086的所有通用寄存器均可充当累加器。 BX(BH、BL)基址寄存器。BX可用作间接寻址的地址寄存器和基地址寄存器,BH、BL 可用作8位通用数据寄存器。 CX(CH、CL)计数寄存器。CX在循环和串操作中充当计数器,指令执行后CX内容自动修改,因此称为计数寄存器。 DX(DH、DL)数据寄存器。除用作通用寄存器外,在I/O指令中可用作端口地址寄存器,乘除指令中用作辅助累加器。 BP、SP称为指针寄存器,用来指示相对于段起始地址的偏移量。BP和SP一般用于堆栈段。SI、DI称为变址寄存器,可用作间接寻址、变址寻址和基址变址寻址的寄存器。SI一般用于数据段,DI一般用于数据段或附加段。 2-10状态标志根据算术逻辑运算结果由硬件自动设定,它们反映运算结果的某些特征或状态,可作为后继操作(如条件转移)的判断依据。控制标志由用户通过指令来设定,它们可

微机原理与应用第2章习题与答案

CH028086/8088指令系统 习题与思考题 1.假定DS=2000H,ES=2100H,SS=1500H,SI=00A0H,BX=0100H,BP=0010H,数据变量VAL的偏移地址为0050H,请指出下列指令源操作数是什么寻址方式?源操作数在哪里?如在存储器中请写出其物理地址是多少? (1)MOVAX,0ABH(2)MOVAX,[100H] (3)MOVAX,VAL(4)MOVBX,[SI] (5)MOVAL,VAL[BX](6)MOVCL,[BX][SI] (7)MOVVAL[SI],BX(8)MOV[BP][SI],100 解答: (1)MOVAX,0ABH 寻址方式:立即寻址;源操作数在数据线上;物理地址:无 (2)MOVAX,[100H] 寻址方式:直接寻址;源操作数在存储器中;物理地址:DS*16+100H=2000H*16+100H=20100H (3)MOVAX,VAL 寻址方式:直接寻址;源操作数在存储器中;物理地址:DS*16+VAL=2000H*16+0050H=20050H (4)MOVBX,[SI] 寻址方式:寄存器间接寻址;源操作数在存储器中;物理地址:DS*16+SI=2000H*16+00A0H=200A0H (5)MOVAL,VAL[BX] 寻址方式:变址寻址;源操作数在存储器中;物理地址:DS*16+VAL+BX= 2000H*16+0050H+0100 =20150H (6)MOVCL,[BX][SI] 寻址方式:基址加变址寻址;源操作数在存储器中;物理地址:DS*16+BX+SI=2000H*16+0100H+00A0H =201A0H (7)MOVVAL[SI],BX 寻址方式:寄存器寻址;源操作数在寄存器中;物理地址:无 (8)MOV[BP][SI],100 寻址方式:立即寻址;源操作数在;物理地址:无 2.设有关寄存器及存储单元的内容如下:

微机原理第1、2次实验

微机原理第1、2次实验

微机原理第1、2次实验 3.1 IO口读写实验(245、373) 1、实验内容 利用板上集成电路上的资源,扩展一片 74HC245,用来读入开关状态;扩展一片 74HC373,用来作来输出口,控制 8 个 LED 灯。 2、实验目的 (1)了解CPU 常用的端口连接总线的方法。 (2)掌握74HC245、74HC373 进行数据读入与输出。 3、实验电路

4、实验流程图 5、实验说明 一般情况下,CPU 的总线会挂有很多器件,如何使这些器件不造成冲突,这就要使用一些总线隔离器件,例如 74HC245、74HC373。74HC245 是三态总线收发器,本实验用它做输入,片选地址为0D0000H-0DFFFFH。就是用于读入开关值。74HC373 是数据锁存芯片,通过它作数据的锁住输出。 6、发现的问题 (1)实验指导书中译码器上的连线与代码中的地址不对应,在CPU的输出状态时译码器的Y0为低电平,其他位为高电平,应将原先连接在Y9的线改接到Y0上。如图:

如果不想改电路,把代码中的OUT373 EQU 8000H改为OUT373 EQU 0E000H,也能使CPU在输出状态时Y9呈现低电平。如图: (2)元件与元件直接必须用导线相连,两个元件挨在一起是不算作导通的

否则会出现比如下面这种即使两端有电势差LED灯也不亮的情况 第2次实验,将LED灯修改为七段数码管 如图,只需将右边改为下图这样即可

添加三极管的目的是为了在8个开关都闭合(向74HC245输入低电平)的情况下,使七段数码管的第一根管脚断路,七段数码管不发光,避免了在8个开关都闭合时显示数字8。

2020年智慧树知道网课《微机原理及应用》课后章节测试满分答案

第一章测试 1 【单选题】(2分) 在CPU的框架中,主要包括哪三个组成部分 A. 运算器、控制器、处理器 B. 运算器、执行器、寄存器 C. 存储器、执行器、寄存器 D. 运算器、控制器、寄存器 2 【多选题】(2分) 微型计算机包括 A. 存储器 B. 输入输出接口电路 C. CPU D. 存储器接口电路

3 【多选题】(2分) 微型计算机从设计的复杂程度和应用领域可以分为哪两大类 A. 专用微型计算机 B. 通用微型计算机 C. 超级计算机 D. 高速计算机 4 【单选题】(2分) 单片微型计算机是以()为核心的 A. 输入输出接口电路 B. CPU C. 存储器接口电路 D. 存储器

5 【单选题】(2分) 单片微型计算机具有微型计算机的全部功能和特征,是微型计算机的()存在形式。 A. 集成 B. 缩小 C. 放大 D. 特殊 6 【单选题】(2分) 1956年8月5日,我国第一个计算机技术研究机构,中国科学院计算机技术研究所筹备委员会成立,著名数学家()任主任。 A. 陈景润 B. 华罗庚 C. 陈省身 D. 苏步青

第二章测试 1 【单选题】(2分) 我们选择的研究范例ATMEGA16是()位的单片微型计算机 A. 16位 B. 8位 C. 32位 D. 4位 2 【单选题】(2分) ATMEGA16单片微型计算机有()个引脚 A. 40 B. 24 C.

48 D. 64 3 【单选题】(2分) ATMEGA16的内部CPU执行指令过程中,算数运算和逻辑运算由()执行。 A. 程序存储器 B. 数据存储器 C. 通用寄存器 D. 算数逻辑运算单元(ALU) 4 【多选题】(2分) ATMEGA16的内部CPU执行完毕一条指令后,其结果可能送到() A.

相关文档
最新文档