微处理器与体系结构

微处理器与体系结构
微处理器与体系结构

第二章:微处理器与体系结构

●计算机中,CPU的地址线与访问存储器单元范围的关系是什么?

【解】:在计算机中,若CPU的地址线引脚数为N条,则访问存储器单元的数量为2N个,访问存储器单元范围为0~2N-1。

●8086CPU中指令队列的功能和工作原理?

【解】:8086CPU中指令队列的功能是完成指令的流水线操作。BIU单位经总线从程序存储器中读取指令并放入指令队列缓冲器,EU单元从指令队列缓冲器中获取指令,因EU并未直接从程序存储器中读取指令,而是经指令队列缓冲,使取指和执指能同时操作,提高了CPU的效率。

●8086CPU的堆栈操作原理?

【解】:8086CPU的堆栈是一段特殊定义的存储区,用于存放CPU堆栈操作时的数据。在执行堆栈操作前,需先定义堆栈段SS、堆栈深度(栈底)和堆栈栈顶指针SP。数据的入栈出栈操作类型均为16位,入栈操作时,栈顶指针值先自动减2(SP=SP-2),然后16位数据从栈顶处入栈;出栈操作时,16位数据先从栈顶处出栈,然后栈顶指针值自动加2(SP=SP+2)。

●8086CPU的最小和最大工作模式的主要不同点?

【解】:CPU的控制线应用方式不同:在最小工作模式下,计算机系统的所需的控制线由CPU直接提供;在最大工作模式下,CPU仅为计算机系统提供必要的控制线,而系统所需的控制线由专用芯片总线控制器8288产生。计算机系统复杂度不同:在最小工作模式下,计算机系统仅用单处理器(8086)组成,系统结构简单且功能也较小;在最大工作模式下,计算机系统由多处理器组成,除8086CPU外,还有总线控制器8288和协处理器8087。

●8086CPU中的EU单元,BIU单元的特点?

【解】:8086CPU为实现指令的流水线操作,将CPU分为指令执行单元EU和总线接口单元BIU。EU与一般CPU 的结构基本相同,含运算器ALU、寄存器、控制器和内部总线,但EU不从存储器中直接读取指令。BIU 是8086CPU的总线接口单元,主要功能有两点,第一是经总线从存储器中获得指令和数据,指令送指令队列缓冲器,以便EU从指令队列获取指令;数据经片内数据总线送CPU中的相关寄存器;第二是20位物理地址的形成,8086CPU中所有寄存器均是16位的,BIU中的地址加法器的入端为16位段首地址和16位段内偏移地址,出端为20位的实际地址,20位地址经线完成对存储器单元或I/O端口的访问。

●什么叫物理地址?什么叫逻辑地址?

【解】:物理地址:完成存储器单元或I/O端口寻址的实际地址称为物理地址,CPU型号不同其物理地址不问,例8080CPU的物理地址16位、8086CPU的物理地址20位、80286CPU的物理地址24位。逻辑地址:物理地址特殊表示方式,例如8086CPU中用16位段首逻辑地址和16位段内偏移逻辑地址表示20位的物理地址。

物理地址是惟一的,而逻辑地址是多样的。

●8086CPU和8088CPU的主要区别?

【解】:CPU内部的区别:8086的指令队列缓冲器为6字节,8088为4字节;CPU数据总线的区别:8086的数据总线宽度为16位,8088为8位;CPU控制线的区别:因8086可一次进行16位数据的操作,可用控制线/BHE 和地址线A0完成对奇偶存储库的选择,8088一次只能对8位数据的操作,无控制线/BHE的功能。8086与8088比较,存储器和I/0选择控制线的控制电平相反。

●8086CPU的6个状态标志位的作用是什么?

【解】:6个状态标志位为CF、OF、ZF、SF、AF和PF。CF是无符号数运算时的进位或借位标志,无进位或借位时CF=0,有进位或借位时CF=1;OF为有符号数运算时的溢出标志,无溢出时OF=0,有溢出时OF=1;

ZF是两数运算时的值0标志,运算结果不为0,ZF=0,运算结果为0,ZF=1;SF是有符号数运算时运算结果符号的标志,运算结果为正时SF=0,运算结果为负时SF=1;AF是辅助进位标志,若D3位到D4位无进

位时(或D4位到D3位无借位时),AF=0,若D3位到D4位有进位时(或D4位到D3位有借位时),AF=1;

CF是运算结果的奇偶校验标志,若运算为奇个1,则PF=0,若运算为偶个1,则PF=1。

●8086CPU的3个控制标志位的作用是什么?

【解】:3个控制标志位是IF、DF和TF。IF是可屏蔽中断中断允许控制位,当IF=0时,有可屏蔽中断请求,但未中断响应产生,当IF=1时,有可屏蔽中断请求必有中断响应产生;DF是数据串操作时的自动增量方向控制位,当DF=0时,地址增量方向为自动加,当DF=1时,地址增量方向为自动减;TF是指令单步调试陷阱控制位,当TF=0时无指令单步调试操作,当TF=1时有指令单步调试操作。

●8086CPU的1M存储空间可分为多少个逻辑段个每段的寻址范围是多少?

【解】:8086CPU的1M存储空间可分为任意个逻辑段,段与段之间可连续也可不连续,可重叠也可相交。但每个分配逻辑段的寻址范围不能大于64K。

●什么是统一编址,分别编址? 各有何特点?

【解】:统一编址:存储器单元地址和I/O端口地址在同一个地址空间中分配。由于I/O端口地址占用存储器单元地址,减少了存储器的寻址空间,访问存储器单元和I/O端口可用相同的指令;分别编址:存储器单元地址和I/O端口地址在不同的地址空间中分配。存储器和I/O端口都有独立且较大的寻址空间,CPU需要用门的控制线来识别是访问存储器还是访问I/O端口,访问存储器单元和I/O端口要用不相的指令。

●8086CPU控制线/BHE,地址线A0对存储器奇偶库的作用是什么?

【解】:8086CPU对存储器进行组织时,每一存储单元地址中仅能存放8位二进制数据,所以8086在进行16位数据操作时需同时访问两个8位的存储单元。奇库中存放16位数据的高8位,即D8~D15,控制线/BHE为奇库片选控制,偶库中存放16位数据的高8位,即D0~D7,A0为偶库片选控制。当/BHE=0且A0=0时,奇偶库片选均有效,可完成16位数据(D0~D15)的同时操作。当/BHE=1且A0=0时,奇库片选无效,偶库片选有效,只能完成8位数据(D0~D7)的操作。当/BHE=0且A0=1时,奇库片选有效,偶库片选无效,只能完成8位数据(D8~D15)的操作。

●什么是基本总线周期,扩展总线周期?

【解】:8086CPU的基本总线周期由4个时钟周期组成,令为T1、T2、T3和T4。在T1时刻,CPU的地址/数据复用线上发出地址信息,用于存储器单元或I/O端口的寻址。T2~T4期间,在CPU的地址/数据复用线和存储器单元或I/O端口间实现数据传送。扩展总线周期是在基本总线周期的基础上,根据特殊要求加入等待周期T w和空闲周期T t。为了保证高速CPU与低速存储器或I/O接口的数据读写,在控制线READY的控制下,可在T3与T4间插入一个或多个等待周期T w。当CPU暂时不需要经总线传送数据时,可在T4后插入一个或多个等待周期T t。

●在8086CPU中,控制线ALE的作用是什么?

【解】:控制线ALE的作用是在总线周期T1时,完成地址/数据复用线上地址信息的分离。ALE用于控制锁存器的锁存控制端,在T1时ALE输出高电平锁存地址信息,在T2~T4间ALE输出低电平保持地址信息。

●在8086CPU中,控制线DEN、DT/R的作用是什么?

【解】:控制线DEN、DT/R的作用是完成对双向数据缓冲器芯片的控制。CPU的地址/数据复用线经数据缓冲器与数据总线相连接,当控制线DEN=0时,数据缓冲器片选有效,CPU的地址/数据复用线与数据总线连接有效。控制线DT/R的作用是数据缓冲器中数据传送方向控制,当DT/R=0时,数据从数据总线上流入CPU。

当DT/R=1时,CPU经数据总线流出数据。

数字电路与微处理器基础实验

数字电路与微处理器基础实验 实验1 单片机开发系统的使用 一.实验目的: 1. 熟悉实验环境。 2. 熟练掌握程序编写、调试、下载和运行的基本方法。 3. 掌握单片机I/O端口的控制和简单应用技术。 4. 掌握移位和软件延时程序的编写和应用。 二.实验设备: 1. PC机一台; 2. 51单片机实验装置一套。 三.实验内容: 1. 利用单片机及8个发光二极管等器件,制作一个单片机控制的流水灯系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制8个发光二极管进行流水灯操作,流水灯从左到右依次点亮,反复显示。发光二极管的闪烁时间由延时函数控制。(流水灯编号从左到右依次为L1—L8) 2. 利用单片机及8个发光二极管等器件,制作一个单片机控制的发光二极管显示系统。单片机的P 3.0—P3.7接8个发光二极管,运行程序,则单片机控制高四位和第四位的发光二极管交替显示。 3. 利用单片机及8个发光二极管等器件,制作一个单片机控制的发光二极管显示系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制奇数位和偶数位的发光二极管交替显示。 4. 选作题:利用单片机及8个发光二极管等器件,制作一个单片机控制的流水灯系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制8个发光二极管进行流水灯操作,流水灯从右到左依次点亮,反复显示。 5. 选作题:按照下图改变流水灯的方式,编程实现。或者按照自己的设计,改变流水灯的方式,并编程实现。

四.实验报告要求: 1.写明实验名称,实验目的; 2.列出实验仪器名称、型号; 3.简述实验原理,画出本实验相关的电路原理图; 4.编程序清单(注释语句),调试结果及说明; 5. 实验分析和实验体会。 6. 注意实验报告格式,独立完成,避免雷同; 7. A4纸排版,左侧装订。并认真填写实验报告封皮。

多周期微处理器设计

微处理器系统设计 课程设计 版本v1.0 专业:集成电路设计与集成系统班级:电路1303班 姓名:周小朋 学号:05136085 2016年6月20 ~ 2016年7月1日

多周期微处理器设计 注:非正式版,仅为初稿 一、功能描述 MIPS微处理器是典型的32位定长指令字RISC处理器,此次设计的微处理器是基于verilogHDL语言、兼容MIPS指令格式、带有I/O接口和中断处理电路、能够实现26条指令功能,并且可以在DE2开发板上进行下载验证的多周期CPU。可以实现的指令包括,R型:add、addu、sub、subu、and、or、xor、nor、slt、sltu、sll、srl、sra、jr;I型:addi、addiu、lui、andi、ori、xori、lw、sw、beq、bne;J型:jal、jump。并且可以实现一段流水灯程序,程序的样式为1)10101010->010*******切换间隔为0.5秒2)11110000->00001111,切换间隔为1秒。两种样式通过按键控制。 二、设计方案 1.总体设计方案 此次设计是在前期课程已经设计好的单周期CPU上进行多周期CPU的设计,多周期指令的执行分为5个阶段:取指、译码、执行、访存、回写。由于PC,指令存储器只能在需要时写入新值,因此必须有“写使能信号”控制。可以用状态机来实现5个状态的转换以及控制信号的产生。来控制此次设计要实现一段流水灯程序,总共有两组不同的花型切换。可以先利用ori指令初始化第一组花型到两个寄存器,再利用SW指令将存到寄存器的花型读出存储到IO接口,通过LED显示,最后用J指令实现花型的循环,此即使第一组花型的实现。接下来考虑按键切换到下一组花型,可以使用按键产生一个中断信号,当按下按键时,进入中断程序,在中断程序里边存储第二个花型及其花型切换与循环,实现方式与花型一相同。时间的控制可以利用指令的条数进行控制,通过分频产生一个标准时钟,sw占用4个时钟周期,j型占用2个时钟周期,可以通过指令的条数来控制题目所需要的0.5s与1s。花型跳转示意图如下: 图1.流水灯实现示意图 总体设计框图如下:

微处理器系统与嵌入式系统1—7章最全答案合集

“微处理器系统原理与嵌入式系统设计”第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

嵌入式微处理器系统读书报告

《嵌入式微处理器系统》专题读书报告 姓名:全妤

1、引言 随着医疗电子、智能家居、物流管理和电力控制等方面的不断风靡,嵌入式系统利用自身积累的底蕴经验,重视和把握这个机会,想办法在已经成熟的平台和产品基础上与应用传感单元的结合,扩展物联和感知的支持能力,发掘某种领域物联网应用。作为物联网重要技术组成的嵌入式系统,嵌入式系统的视角有助于深刻地、全面地理解物联网的本质。 2、嵌入式系统的概念 嵌入式系统被定义为以应用为中心、计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体积功耗严格要求的专用计算机系统。 2.1嵌入式系统的组成 一个嵌入式系统装置一般都由嵌入式计算机系统和执行装置组成。嵌入式计算机系统是整个嵌入式系统的核心,由硬件层、中间层、系统软件层和应用软件层组成。执行装置也称为被控对象,它可以接受嵌入式计算机系统发出的控制命令,执行所规定的操作或任务。 2.1.1 硬件层 硬件层中包含嵌入式微处理器、存储器(SDRAM、ROM、Flash等)、通用设备接口和I/O接口(A/D、D/A、I/O等)。

在一片嵌入式处理器基础上添加电源电路、时钟电路和存储器电路,就构成了一个嵌入式核心控制模块。其中操作系统和应用程序都可以固化在ROM中。 1)嵌入式微处理器 嵌入式系统硬件层的核心是嵌入式微处理器,嵌入式微处理器与通用CPU最大的不同在于嵌入式微处理器大多工作在为特定用户群所专用设计的系统中,它将通用CPU许多由板卡完成的任务集成在芯片内部,从而有利于嵌入式系统在设计时趋于小型化,同时还具有很高的效率和可靠性。 2)存储器 嵌入式系统需要存储器来存放和执行代码。嵌入式系统的存储器包含Cache、主存和辅助存储器。 3)通用设备接口和I/O接口 嵌入式系统和外界交互需要一定形式的通用设备接口,如A/D、D/A、I/O等,外设通过和片外其他设备的或传感器的连接来实现微处理器的输入/输出功能。每个外设通常都只有单一的功能,它可以在芯片外也可以内置芯片中。外设的种类很多,可从一个简单的串行通信设备到非常复杂的802.11无线设备。

共享总线多微处理器系统中分布式仲裁方案的研究及实现

第!"卷第#期 #$$!年!月浙江大学学报%工学版&’()*+,-(./0123,+45+361*7389%:+43+11*3+4;<31+<1&=(->!"?(># @,*>#$$! 收稿日期A #$$#B $C B D E > 基金项目A 浙江省F 九五G 重点科技资助项目%H E #D $D #I C &> 作者简介A 刘峰%D H "D J&K 男K 山东鱼台人K 博士K 从事分布式并行处理系统和生物医学信息处理研究>:B L ,3-A <-7-9.M 7(0)><(L 共享总线多微处理器系统中 分布式仲裁方案的研究及实现 刘峰K 葛霁光 %浙江大学生物医学信息研究所K 浙江杭州!D $$#"& 摘要A 共享总线多微处理器系统具有相当高的性能价格比K 但随着处理器速度的不断提高K 总线通信带宽和可靠 性成为限制并行处理能力的主要瓶颈>总线仲裁对提高系统性能具有关键的作用>分布式一致优先权机制是一种 新型的仲裁机制模型> 在此基础上提出了基于时间变化的优先权仲裁事务缓冲的分布式仲裁方案>仲裁缓冲机制能够充分利用系统总线的并发特性来提高总线的利用率K 此机制有利于并行处理系统中的实时和总线重负载应用 环境>虚拟令牌环和时变优先权策略为处理器节点公平地共享总线资源提供了保障>多字节优先权标识使优先权 更易于扩展>仿真工作验证了所提方案的正确性> 关键词A 并行处理N 仲裁缓冲N 虚拟令牌环N 实时仲裁N 优先权队列 中图分类号A O P !$!文献标识码A Q 文章编号A D $$E B H "!R %#$$!&$#B $D "E B $"S T U V W X Y VZ [\]^[^Y T X T Z _Y_‘V Z a T b Z c U T ^VX b c Z T b X T Z _Ya d e ^[^a Z Yc U a f c X a ^V[U ]T Z \b _d ^a a _b a W a T ^[ g h 5i 1+4K j :’3B 4),+4 %k l m n o n p n q r st o r u r v o w x u x l yzq y o w x u k l s r {|x n o r l K }~q !o x l v "l o #q {m o n $K %x l v &~r p !D $$#"K ’~o l x &(c a T b X d T A )340*,83((.*1*.(*L ,+<1,4,3+78<(7837,+173*,,-1.1,8)*1(.,)7B 70,*1+L )-83**(<177(*7 79781L 7>)(-161*K -3808013+<*1,73+4-9*,*3+4*(-80(.**(<177(*7*11+K 801<(L L )+3<,83(+, ,++-3+80,++*1-3,,3-389(.803779781L 0,7,1<(L 1,+1771+83,-.,<8(*,..1<83+4*,*,--1-**(<1773+4>h +801-,9.(* 4,3+3+43L **(61L 1+8(.(61*,--*1*.(*L ,+<1(.803779781L K ,)7,*,38*,83(+37,8801<(*1*(7383(+>O 01 8*,+7,<83(+B ,)..1*1+83L 1B 6,*3,,-1**3(*389,*,38*,83(+7<01L 1,,71+(+,+(61-,*,38*,83(+L (+1- K +378*3,)81+)+3.(*L **3(*389L (+1-K 37**171+81+3+8037*,*1*>O 018*,+7,<83(+B ,)..1*1+,*,38*,83(+ L 1<0,+37L )71780179781L ,)7**(*1*898(*,37180179781L .7)71*,83(K -03<03761*9**(.38,,-1.(**1,- B 83L 1,**-3<,83(+7,++01,69,)7-(,+1+63*(+L 1+83+*,*,--1-**(<1773+479781L >O 0163*8),-8(/1+*3+4,++ 83L 1B 6,*3,,-1**3(*389*(-3<3174),*,+81180,870,*1+.,3*-9,)7*17()*<17,*1,<<1771+.,3*-9,9,--**(<177(* +(+17>@)-83B ,981**3(*389*(-3<9L ,/177<,-,,-1**3(*3891,731*>;3L )-,83(+,++3L *-1L 1+8,83(+-(*/61*3.31+.1,73,3-389(.801**171+81+,*,38*,83(+7<01L 1 >0^W 1_b V a A *,*,--1-**(<1773+4N 8*,+7,<83(+B ,)..1*1+Q *,38*,83(+N 63*8),-8(/1+*3+4N *1,-B 83L 1 ,*,38*,83(+N **3(*3892)1)1 高性能并行计算的基础是由多个微处理器按照 一定的拓扑关系组成的硬件处理平台>共享总线多 微处理器系统具有良好的性能价格比K 从而成为构造几个到几十个微处理器组成的小规模多微处理器系统首先关注的体系结构>对于共享总线多微处理器系统而言K 系统总线既是协同多个微处理器进行万方数据

微处理器系统结构与嵌入式系统设计(第2版) 第3章答案

“微处理器系统原理与嵌入式系统设计”第三章习题解答 3.1处理器有哪些功能?说明实现这些功能各需要哪些部件,并画出处理器的基本结构图。 处理器的基本功能包括数据的存储、数据的运算和控制等功能。其有5个主要功能:①指令控制②操作控制③时间控制④数据加工⑤中断处理。其中,数据加工由ALU 、移位器和寄存器等数据通路部件完成,其他功能由控制器实现。处理器的基本结构图如下: 寄存器组 控制器 整数单元 浮点单元 数据通路 处理器数据传送 到内存数据来自内存数据传送到内存指令来自内存 3.2处理器内部有哪些基本操作?这些基本操作各包含哪些微操作? 处理器基本操作有:取指令、分析指令、执行指令。 取指令:当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址及控制信号。 分析指令:对当前取得的指令进行分析,指出它要求什么操作,并产生相应的操作控制命令。 执行指令:根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器、存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理以及下条指令地址的形成。 3.3什么是冯·诺伊曼计算机结构的主要技术瓶颈?如何克服? 冯·诺伊曼计算机结构的主要技术瓶颈是数据传输和指令串行执行。可以通过以下方案克服:采用哈佛体系结构、存储器分层结构、高速缓存和虚拟存储器、指令流水线、超标量等方法。

3.5指令系统的设计会影响计算机系统的哪些性能? 指令系统是指一台计算机所能执行的全部指令的集合,其决定了一台计算机硬件主要性能和基本功能。指令系统一般都包括以下几大类指令。:1)数据传送类指令。(2)运算类指令 包括算术运算指令和逻辑运算指令。(3)程序控制类指令 主要用于控制程序的流向。 (4)输入/输出类指令 简称I/O 指令,这类指令用于主机与外设之间交换信息。 因而,其设计会影响到计算机系统如下性能: 数据传送、算术运算和逻辑运算、程序控制、输入/输出。另外,其还会影响到运算速度以及兼容等。 3.9某时钟速率为2.5GHz 的流水式处理器执行一个有150万条指令的程序。流水线有5段,并以每时钟周期1条的速率发射指令。不考虑分支指令和乱序执行带来的性能损失。 a)同样执行这个程序,该处理器比非流水式处理器可能加速多少? b)此流水式处理器是吞吐量是多少(以MIPS 为单位)? a.=51p T nm S T m n =≈+-串流水 速度几乎是非流水线结构的5倍。 b.2500M IPS p n T T =≈流水 3.10一个时钟频率为2.5 GHz 的非流水式处理器,其平均CPI 是4。此处理器的升级版本引入了5级流水。然而,由于如锁存延迟这样的流水线内部延迟,使新版处理器的时钟频率必须降低到2 GHz 。 (1) 对一典型程序,新版所实现的加速比是多少? (2) 新、旧两版处理器的MIPS 各是多少? (1)对于一个有N 条指令的程序来说: 非流水式处理器的总执行时间s N N T 990 106.1)105.2/()4(-?=??= 5级流水处理器的总执行时间s N N T 991 10)4(2)102/()15(-?+=?-+= 加速比=42.310 +=N N T T ,N 很大时加速比≈3.2 (2)非流水式处理器CPI=4,则其执行速度=2500MHz/4=625MIPS 。 5级流水处理器CPI=1,则其执行速度=2000 MHz /1=2000 MIPS 。 3.11随机逻辑体系结构的处理器的特点是什么?详细说明各部件的作用。 随机逻辑的特点是指令集设计与硬件的逻辑设计紧密相关,通过针对特定指令集进行

微控制器原理及应用答案

微控制器原理及应用答案 【篇一:单片机原理及应用课后完整答案】 txt>第一章 1. 为什么计算机要采用二进制数?学习十六进制数的目的是什么? 在计算机中,由于所采用的电子逻辑器件仅能存储和识别两种状态 的特点,计算机内部一切信息存储、处理和传送均采用二进制数的 形式。可以说,二进制数是计算机硬件能直接识别并进行处理的惟 一形式。十六进制数可以简化表示二进制数。 2. (1) 01111001 79h (2) 0.11 0.ch (3) 01111001.11 79.ch (4) 11101010.101 0ea.ah (5)01100001 61h (6) 00110001 31h 3. (1) 0b3h 4. (1)01000001b65 (2) 110101111b 431 (3)11110001.11b 241.75 (4)10000011111010b 8442 5. (1) 00100100 00100100 00100100(2) 10100100 11011011 11011100(3)1111 1111 1000 00001000 0001 (4)10000000 110000000 10000000 (5) 10000001 11111110 11111111(6)100101110 111010010111010011 6. 00100101b 00110111bcd 25h 7. 137 11989 8.什么是总线?总线主要有哪几部分组成?各部分的作用是什么?总线是连接计算机各部件之间的一组公共的信号线。一般情况下, 可分为系统总线和外总线。 系统总线应包括:地址总线(ab)控制总线(cb)数据总线(db)地址总线(ab):cpu根据指令的功能需要访问某一存储器单元或外 部设备时,其地址信息由地址总线输出,然后经地址译码单元处理。地址总线为16位时,可寻址范围为216=64k,地址总线的位数决定 了所寻址存储器容量或外设数量的范围。在任一时刻,地址总线上 的地址信息是惟一对应某一存储单元或外部设备。

微处理器思考与习题

第1章思考与习题: 1、简述计算机系统的硬件构成和软件构成。 计算机的硬件结构由运算器、控制器、存储器、输入设备、输出设备及连接各部件的总线组成。 其中,运算器又称为算术/逻辑运算单元,完成数据的算术(加、减、乘、除)和逻辑(与、或、非、异)等运算以及移位等操作,它是一个采用二进制运算信息加工部件。 存储器是计算机的记忆部件,存储控制计算机操作的命令(指令)和被处理的信息数据,并对处理结果加以保存。存储器存储的信息有两类:一类是命令信息,指挥计算机系统工作,用来完成所设计的任务,这类信息被存放在存储器的代码区或程序区;另一类是数据是指被处理的对象或结果,这类信息被存放在数据区。一般将存储器分为两级:内存和外存,目前使用的内存是半导体存储器,外存采用硬盘、磁带、光盘等。平时程序保存在外存,执行时再将其调入内存中运行。狭义的存储器仅指内存储器。 控制器是整个系统的指挥部件,对运行的指令逐一分析,发出控制信号并执行其相应操作。 输入设备与输出设备,通称外围设备,是对信息形式的转换,如将语言文字、声音、机械动作等信息形式转换为计算机能识别的二进制格式信息,或将其反向转换。 总线是计算机各部件间传输信息的公共通道,各部件分时复用总线,满足数据、地址、指令和控制信息在各部件之间快速传送的需要。 微型计算机的软件由系统软件和应用软件构成。 系统软件包括操作系统、程序设计语言的编译程序和其他程序。 操作系统是常驻内存的软件系统,包括系统资源管理(CPU管理,存储器管理、I/O管理和驱动程序)、任务管理、文件管理和程序库。为使用者提供灵活方便操作功能,使资源得到最充分有效的利用。 各种程序设计语言的编译系统为用户开发应用软件提供有力支持,如汇编语言的汇编程序,各种高级语言的汇编程序、连接程序以及各种调试工具。 其他程序,如系统诊断程序、故障定位程序、系统配置程序等。 应用软件(或称用户软件)是为用户实现给定的任务而编写、选购或订购的程序,它只适合给定环境的指定用途,一般驻留在外部存储器中,只在运行时才调入内存储器。 2、冯·诺依曼体系结构的五个部件是什么?总线的作用是什么?

微控制器原理期末复习题整理

苏州大学微控制器原理期末复习 一、选择题( 20 X 1’ ) 1.以下芯片系列中,没有单片机的系列是( ) A. PIC系列 B. X86系列 C. AVR系列 D. ARM系列 2.微控制器是指( ) A.微处理器 B.微型计算机 C.单板机 D.单片机 3.在ARM 技术定义的AMBA(Advanced Microcontroller Bus Architecture ) 总线规范中,定义了用于高性能系统模块连接的( )总线。 A. ASB B. APB C. BB (Bus Bridge) D. AHB 4.在 ARM 技术定义的AMBA (Advanced Microcontroller Bus Architecture )总线规范中定义了用于较低性能外设简单连接的( )总线。 A.ASB B.APB C.BB(Bus Bridge) D.AHB 5.AMBA (Advanced Microcontroller Bus Architecture )是()标准。 A.片上总线 B.控制总线 C.外设总线 D.系统总线 6.ARM Cortex-M0+处理器中的 LR(R14)是( ) A.通用寄存器 B.程序计数寄存器 C.连接寄存器 D.特殊功能寄存器 7. ARM Cortex-MO+处理器中的 PC(R15)是( ) A.通用宵存器 B.程序计数寄存器 C.连接寄存器 D.特殊功能寄存器 8.基本存储单元由()个连续的二进制位构成, A.8 B.16 C. 32 D. 64 9.ARM Cortex-MO+处理器中共有()个通用寄存器? A.15 B. 14 C. 13 D. 12 10.ARM Cortex-MO+处理器中,寄存器SP(R13)的最低( )位永远为0。 A. 1 B. 2 C.3 D.4 11.ARM Cortex-MO+处理器中,APSR用于反映ALU的运算结果的某些特 征,共有()个标志位。 A. 1 B. 2 C.3 D.4 12.KL25的UART模块不支持()位数据模式 A. 7 B. 8 C.9 D. 10 13.M CU各个模块作为中断源引起的中断称为(). A.内核中断 B.异常中断 C.可屏蔽中断 D.不可屏蔽中断 14.在CPU停机方式的DMA操作中,CPU与总线的关系是( ) A.只能控制数据总线 B.只能控制地址总线 C.处于隔离状态 D.能传送所有控制信号 15.CPU在中断响应过程中的何种措施是为了能正确地实现中断返回( )

微处理器和微控制器区别与DSP芯片分类及特点简介

微处理器和微控制器区别与DSP芯片分类及特点简介 中央处理器是一块超大规模的集成电路,是一台计算机的运算核心和控制核心,它的功能主要是解释计算机指令以及处理计算机软件中的数据。 主要包括运算器和高速缓冲存储器及实现它们之间联系的数据、控制及状态的总线。它与内部存储器和输入/输出设备合称为电子计算机三大核心部件。 目前,嵌入式处理器的高端产品有:Advanced RISC Machines公司的ARM、Silicon Graphics 公司的MIPS、IBM和Motorola的Power PC 、Intel的X86和i960芯片、AMD的Am386EM、Hitachi的SH RISC芯片; 掌上电脑的处理器有六类处理器,分别是:英特尔的PXA系列处理器、MIPS处理器、StrongARM系列处理器、日立SH3处理器、摩托罗拉龙珠系列处理器和德州仪器OMAP 系列处理器。 微处理器和微控制器区别所在微处理器和微控制器的区别,这样的区别主要集中在硬件结构、应用领域和指令集特征三个方面: 其一,硬件结构。 微处理器是一个单芯片CPU,而微控制器则在一块集成电路芯片中集成了CPU和其他电路,构成了一个完整的微型计算机系统。 除了CPU,微控制器还包括RAM、ROM、一个串行接口、一个并行接口,计时器和中断调度电路。虽然片上RAM的容量比普通微型计算机系统还要小,但是这并未限制微控制器的使用。 在后面可以了解到,微控制器的应用范围非常广泛。其中,微控制器的一个重要的特征是内建的中断系统。作为面向控制的设备,微控制器经常要实时响应外界的激励。 其二,应用领域。 微处理器通常作为微型计算机系统中的CPU使用,其设计正是针对这样的应用,这也是微处理器的优势所在。 然而,微控制器通常用于面向控制的应用,系统设计追求小型化,尽可能减少元器件数量。

南京大学微处理器和嵌入式系统复习

微处理器和嵌入式系统 1.简述Bootloader的作用,列举三种主流的Bootloader的名称和特点。 作用:引导装载程序,初始化内粗配置器,初始化串行端口,对后续内核加载引导执行主流:U-Boot,Redboot,vivi 特点:适用于不同的架构平台 2.什么是交叉编译环境?为什么要采用交叉编译环境? 一台pc机上建立一套编译环境,此环境是为了编译另一种架构的代码; 原因:目标的硬件平台与当前的不一样的CPU架构,指令集不兼容 3.Mount命令有哪些作用?举例说明。 1)挂载网络文件系统 2)挂载本地大容量存储设备(原生作用),硬盘/软盘/U盘分区 4.列举Linux根文件系统的目录树结构。写出三个目录的作用。 5.什么是Frame buffer设备?Frame buffer有哪些参数?如何在Frame buffer设备上显示 一个红色的像素点? 定义:帧缓冲设备,将设备映射到内存空间,将内存映射的操作映射到用户空间 参数:分辨率,色深,显示器色位 画红点: 6.NFS服务在嵌入式开发中的作用。 局域网里实验网络文件/目录的共享 7.Tftp服务在嵌入式开发中的作用。 轻量级的文件传输服务,内核文件系统下载 主机端:建立tftp端软件,配置下载目录 开发板、目标板端:利用bootloader,tftp命令下载主机端内核文件系统

8.Minicon 软件在嵌入式开发中的作用。 实现主机与开发板的串口通信, 9.Linux内核配置编译的基本步骤。 10.回答嵌入式系统的定义,列举其特点。 定义:以应用为中心,以计算机技术为基础,软件硬件可裁剪,适应系统对功能、可靠性、成本、体积、功耗严格要求的计算机系统。 特点:系统内核小、专用性强、系统精简、高性能的实时操作系统软件、使用多任务的操作系统 11.嵌入式系统处理器分为哪几类?主流嵌入式微处理器内核有哪些? 分类:嵌入式微控制器、嵌入式DSP处理器、嵌入式微处理器、嵌入式片上系统 内核:ARM、MIPS、Power Pc、x86、68k 12.ARM处理器与X86处理器最大的区别是什么? 1)架构:ARM精简指令集(RISC),x86复杂指令集(CISC) 2)其他:ARM 功耗极低,主板安全性更高 13.嵌入式系统软件测试技术有哪些? 黑盒测试、白盒测试、目标环境测试、宿主环境测试 14.嵌入式系统可靠性参数如何计算? 1)MTBF=缺陷率×每秒执行的千行代码数目 2)MTTR=软件故障检测到之后的重新启动时间的平均值 3)有效性A=MTBF MTBF+MTTR 15.Linux内核有哪5大功能? 进程管理、内存管理、文件管理、设备控制、网络功能 16.设备驱动程序的基本作用。 主要作用在于提供机制而非策略,主要解决需要提供什么功能的问题,可以看做应用和实际设备的一个软件层。

嵌入式微处理器体系结构复习资料

一、嵌入式微处理器体系结构 嵌入式微处理器的体系结构可以采用冯·诺依曼体系结构或哈佛体系结构,指令系统可以选用精简指令系统RISC和复杂指令集系统CISC。 1、·诺依曼体系结构和哈佛体系结构; (1)·诺依曼结构的计算机由CPU和存储器构成,其程序和数据共用一个存储空间,程序指令存储地址和数据存储地址指向同一个存储器的不同物理位置;采用单一的地址及数据总线,程序指令和数据的宽度相同。程序计数器(PC)是CPU内部指示指令和数据的存储位置的寄存器。 (2)哈佛结构的主要特点是将程序和数据存储在不同的存储空间中,即程序存储器和数据存储器是两个相互独立的存储器,每个存储器独立编址、独立访问。提高执行速度,提高数据的吞吐率,具有较高的执行效率。 2、CISC和RISC 类别CISC RISC 指令系统指令数量很多较少,通常少于100 执行时间有些指令执行时间很长, 如整块的存储器内容拷贝; 或将多个寄存器的内容 拷贝到存贮器没有较长执行时间的指令 编码长度编码长度可变,1-15字节编码长度固定,通常为4个字节 寻址方式寻址方式多样简单寻址 操作可以对存储器和寄存器只能对寄存器对行算术和逻辑操作, 进行算术和逻辑操作Load/Store体系结构 编译难以用优化编译器生成 高效的目标代码程序采用优化编译技术,生成高效的目标代码程序 二、ARM状态各模式下的寄存器 1、所有的37个寄存器,分成两大类: (1)31个通用32位寄存器; (2) 6个状态寄存器。 2、R0~R7为未分组的寄存器,也就是说对于任何处理器模式,这些寄存器都对应于相同的32位物理寄存器。 3、寄存器R8~R14为分组寄存器。它们所对应的物理寄存器取决于当前的处理器模式,几乎所有允许使用通用寄存器的指令都允许使用分组寄存器 4、寄存器R8~R12有两个分组的物理寄存器。一个用于除FIQ模式之外的所有寄存器模式,另一个用于FIQ模式。这样在发生FIQ中断后,可以加速FIQ的处理速度 5、寄存器R13、R14分别有6个分组的物理寄存器。一个用于用户和系统模式,其余5个分别用于5种异常模式。 三、处理器工作模式 1、ARM处理器有7种工作模式; ●usr(用户模式):ARM处理器正常程序执行模式。 ●fiq(快速中断模式):用于高速数据传输或通道处理 ●irq(外部中断模式):用于通用的中断处理

微处理器和微控制器处理

评语:将英文和中文都分别整理到一起即可再发给我。翻译可以过关了。 Microprocessors and Microcontrollers Chapter Outline Introduction Microprocessors and Microcontrollers The 280 and the 8051 A Microcontroller Survey Development Systems for Microcontrollers Summary 微处理器和微控制器 章节纲要 1、介绍 2、微处理器、微控制器 3、Z80和8501 4、一个单片机调查 5、开发系统的控制器 6、摘要 The past two decades have seen the introduction of a technology that has radically changed the way in which we analyze and control the world around us. Born of parallel developments in computer architecture and integrated circuit fabrication. the microprocessor. or "computer on a chip," first became a commercial reality in 1971 with the introduction of the 4-bit 4004 by a small. unknown company by the name of Intel Corporation. Other. more well-established, semiconductor firms soon followed Intel's pioneering technology so that by the late 1970s one could choose from a half dozen or so microprocessor types. The 1970s also saw the growth of the number of personal computer users from a handful of hobbyists and "hackers" to millions of business. industrial. governmental. defense. educational. and private users now enjoying the advantages of inexpensive computing. A by-product of microprocessor development was the microcontroller. The same fabrication techniques and programming concepts that make possible the general-purpose microprocessor also yielded the microcontroller. Microcontrollers are not as well known to the general public, or even the technical community, as are the more glamorous microprocessors. The public is. however. very well aware that "something" is responsible for all of the smart VCRs. clock radios. Washers and dryers. video games. telephones. microwaves. TVs. automobiles, toys. vending machines, copiers. elevators. irons. and a myriad of other articles that have suddenly become intelligent and "programmable . " Companies are also aware that being competitive in this age of the microchip requires their products. or the machinery they use to make those products. to have some "smarts." The purpose of this chapter is to introduce the concept of a microcontroller and survey a representative group. The remainder of the book will study one of the most popular types, the 8051. in detail. 过去二十年来,我们已经发现一个技术的引进,已经从根本上改变了我们分析和控制周围世界的方式。计算机体系结构和集成电路制造的并行发展,微处理器或“计算机芯片”的诞生最初成为商业现实是在1971年,伴随着当时规模很小,并不知名的英特尔公司发布的一款4bit 处理器——4004。此后,其他当时更具有规模和实力的半导体公司很快跟随着英特尔公司的开创性技

微处理器选型

微处理器模块选型 在产品开发中, 作为核心芯片的微处理器, 其自身的功能、性能、可靠性被寄予厚望, 因为它的资源越丰富、自带功能越强大, 产品开发周期就越短, 项目成功率就越高。 微处理器选型的考虑因素 1.应用领域 一个产品的功能、性能一旦定制下来, 其所在的应用领域也随之确定。要考虑产品工作温度,湿度等条件。 2.自带资源 主频是多少? 有无内置的以太网M A C? 有多少个I / O 口? 自带哪些接口? 支持在线仿真吗? 是否支持OS, 能支持哪些OS? 是否有外部存储接口? 芯片。自带资源越接近产品的需求, 产品开发相对就越简单。 3.可扩展资源 硬件平台要支持O S、RAM 和ROM , 对资源的要求就比较高。有些芯片内置容量比较小,这就要求芯片可扩展存储器。 4.功耗 低功耗的产品即节能又节财, 甚至可以减少环境污染, 它有如此多的优点,因此低功耗也成了芯片选型时的一个重要指标。 5.封装 常见的微处理器芯片封装主要有QFP、BGA两大类型。如果产品对芯片体积要求不严格, 选型时最好选择QFP封装。 6.芯片的可延续性及技术的可继承性 目前, 产品更新换代的速度很快, 所以在选型时要考虑芯片的可升级性。应该考虑知名半导体公司,然后查询其相关产品, 再作出判断。 7.价格及供货保证 许多芯片目前处于试用阶段( sam pling ) , 其价格和供货就会处于不稳定状态, 所以选型时尽量选择有量产的芯片。 8.仿真器 已经有仿真器的时候, 在选型过程中要考虑它是否支持所选的芯片。 9.OS 及开发工具 对于已有OS 的人们, 在选型过程中要考虑所选的芯片是否支持该OS, 也可以反过来说, 即这种OS 是否支持该芯片。 10.技术支持 一个好的公司的技术支持能力相对比较有保证, 所以选芯片时最好选择知名的半导体公司。

嵌入式微处理器与操作系统_华中师范大学20年春季考试题库及答案

[试题分类]:嵌入式微处理器与操作系统Z_82411005 [题型]:单选 [大题名称]:单项选择题 [题目数量]:60 [分数]:2 1.Makefile文件预定定义变量$^表示()。 A.目标文件的完整名称 B.所有不重复的依赖文件,以空格隔开 C.第一个依赖文件的名称 D.第二个依赖文件的名称 [答案]:B [一级属性]: [二级属性]: [难度]: [公开度]: 2.如果生成通用计算机上(系统是Linux操作系统)能够执行的程序,则使用的C编译是()。 A.TC B.VC C.GCC D.arm-linux-gcc [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 3.创建根文件系统映像文件使用的工具是()。 A.BusyBox B.cramfs C.make D.vi [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 4.S3C2410X系统的存储空间分成()组(bank)。 A.2 B.4 C.8 D.16 [答案]:C

[一级属性]: [二级属性]: [难度]: [公开度]: 5.GDB软件是()。 A.调试器 B.编译器 C.文本编译器 D.连接器 [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 6.嵌入式系统和通用计算机相比,描述不正确的是()? A.专用性强 B.实时性好 C.可裁剪性好 D.功耗高 [答案]:D [一级属性]: [二级属性]: [难度]: [公开度]: 7.ARM9使用几级流水线。 A.2 B.3 C.5 D.7 [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 8.ARM公司主要依靠()获得利润。 A.生产芯片 B.销售芯片 C.制定标准 D.出售芯片技术授权 [答案]:D

微控制器和微处理器的区别2

微控制器和微处理器的区别 中央处理器是一块超大规模的集成电路,是一台计算机的运算核心和控制核心,它的功能主要是解释计算机指令以及处理计算机软件中的数据。主要包括运算器和高速缓冲存储器及实现它们之间联系的数据、控制及状态的总线。它与内部存储器和输入/输出设备合称为电子计算机三大核心部件。 目前,嵌入式处理器的高端产品有:Advanced RISC Machines公司的ARM、Silicon Graphics公司的MIPS、IBM和Motorola的Power PC 、Intel的X86和i960芯片、AMD的Am386EM、Hitachi的SH RISC芯片。 微处理器和微控制器区别所在 微处理器和微控制器的区别,这样的区别主要集中在硬件结构、应用领域和指令集特征三个方面: 其一,硬件结构。微处理器是一个单芯片CPU,而微控制器则在一块集成电路芯片中集成了CPU和其他电路,构成了一个完整的微型计算机系统。除了CPU,微控制器还包括RAM、ROM、一个串行接口、一个并行接口,计时器和中断调度电路。虽然片上RAM的容量比普通微型计算机系统还要小,但是这并未限制微控制器的使用。在后面可以了解到,微控制器的应用范围非常广泛。其中,微控制器的一个重要的特征是内建的中断系统。作为面向控制的设备,微控制器经常要实时响应外界的激励。 其二,应用领域。微处理器通常作为微型计算机系统中的CPU使用,其设计正是针对这样的应用,这也是微处理器的优势所在。然而,微控制器通常用于面向控制的应用,系统设计追求小型化,尽可能减少元器件数量。在过去,这些应用通常需要用数十个甚至数百个数字集成电路来实现。使用微控制器可以减少元器件的使用数量,只需一个微控制器、少量的外部元件和存储在ROM中的控制程序就能够实现同样的功能。微控制器适用于那些以极少的元件实现对输入/输出设备进行控制的场合,而微处理器适用于计算机系统中进行信息处理。 其三,指令集特征。由于应用场合不同,微控制器和微处理器的指令集也有所不同。微处理器的指令集增强了处理功能,使其拥有强大的寻址模式和适于操作大规模数据的指令。微处理器的指令可以对半字节、字节、字,甚至双字进行操作。通过使用地址指针和地址偏移,微处理器提供了可以访问大批数据的寻址模式。自增和自减模式使得以字节、字或双字为单位访问数据变得非常容易。另外,微处理器还具有其他的特点,如用户程序中无法使用特权指令等。 微控制器的指令集适用于输入/输出控制。许多输入/输出的接口是单/位的。如,电磁铁控制着马达的开关,而电磁铁由一个1位的输出端口控制。微控制器具有设置和 1

相关文档
最新文档