数电实验考试 verilogHDL语言及参考程序

数电实验考试 verilogHDL语言及参考程序
数电实验考试 verilogHDL语言及参考程序

题目一:表决器电路设计

一、设计任务及原理:所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。

二、具体要求:

本实验就是利用实验系统中的拨动开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。拨动开关模块中的K1~K7表示七个人,当拨动开关输入为‘1’时,表示对应的人投同意票,否则当拨动开关输入为‘0’时,表示对应的人投反对票;LED模块中LED1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。

在此实验中数码管、LED、拨动开关与FPGA的连接电路和管脚连接在以前的实验中都做了详细说

明,这里不在赘述。端口名使用模块

信号

对应FPGA

管脚

说明

S1 按键开关

S1 R16 表示1号抢

答者

S2 按键开关

S2 P14 表示2号抢

答者

S3 按键开关

S3 P16 表示3号抢

答者

S4 按键开关

S4 P15 表示4号抢

答者

S5 按键开关

S5 M15 表示5号抢

答者

S6 按键开关

S6 N16 表示6号抢

答者

S7 按键开关

S7 N15 表示7号抢

答者

DOUT0 LED模块D1 L14 表决结果

亮为通过

LEDAG0 数码管模

块A段N4 抢答成功

号码显示

LEDAG1 数码管模

块B段

G4

LEDAG2 数码管模

块C段

H4

参考答案:

moduleliu(s,dout0,ledag);

input[6:0]s;

outputdout0;

output[6:0]ledag;

reg[6:0]led;

reg[2:0]k;

regclk_en;

regdout;

always@(s)

begin

k<=s[1]+s[2]+s[3]+s[4]+s[5]+s[6]+s[0];

if(k>=4)

begin

dout<=1;

end

else

begin

dout<=0;

end

end

always@(k)

begin

case(k)

3'd0:led<=7'b0111111;

3'd1:led<=7'b0000110;

3'd2:led<=7'b1011011;

3'd3:led<=7'b1001111;

3'd4:led<=7'b1100110;

3'd5:led<=7'b1101101;

3'd6:led<=7'b1111101;

3'd7:led<=7'b0000111;

default:led<=7'b0000000;

endcase

end

assign

ledag=led;

assign

dout0=dout;

endmodule

题目二:数字电子实验设计题目:彩灯循环电路设计

一、设计任务及原理:所谓彩灯循环就是对于一组彩灯(16盏),通过不同的工作模式可按照一定的规律来点亮或者熄灭。我们所要求的彩灯循环电路共分4个节拍,四个节拍按照一定的顺序依次循环执行。

二、具体要求:

系统设有一个复位键,当按下复位键后,系统从第一节拍开始执行直到第四节拍结束,然后从第一节拍重新开始新的循环。

第一节拍:16盏灯(Q1~Q16)从Q1开始依次点亮,直到Q16为止。每盏灯点亮的时间间隔为一秒,即Q1点亮后,间隔一秒后Q2点亮,然后依次点亮。(下面类似间隔时间都

为1秒)

第二节拍:在第一节拍的基础上,16盏灯(Q1~Q16)从Q16开始依次熄灭,直到Q1为止。每盏灯熄灭的时间间隔为一秒,即Q16熄灭后,间隔一秒后Q15熄灭,然后依次熄灭直到全部熄灭为止。(下面类似间隔时间都为1秒)

第三节拍:16盏灯(Q1~Q16)从两端开始依次点亮,即(Q1、Q16先点亮,然后Q2、Q15再点亮,直到全部16盏等点亮为止)。每盏灯点亮的时间间隔为一秒。

第四节拍:在第三节拍的基础上,16盏灯(Q1~Q16)从中间开始依次熄灭,即(Q8、Q9先熄灭,然后Q7、Q10再熄灭,直到全部16盏灯熄灭为止)。每盏灯熄灭的时间间隔为一秒。

在此实验中数码管、LED、拨动开关与FPGA 的连接电路和管脚连接在以前的实验中都做了详细说明,这里不在赘述。端口名使用模

块信号

对应

FPGA管

说明

S1 按键开

关S1 R16 表示复

位按键

Q1 Q1 L14

Q2 Q2 M14

Q3 Q3 N14

Q4 Q4 N13

Q5 Q5 P12 参考答案:

moduleliu2(clk,ret,led);

inputclk,ret;

output[16:1]led;

wireret_en;

reg[16:1]led1;

reg[5:0]i,j,k,l,m;

reg[31:0]cout;

regclk_en;

assign

ret_en=ret;

always@(posedgeclkornegedgeret_en) begin

if(~ret_en)

begin

led1<=16'b0000000000000000;

i<=0;

end

else

begin

if(clk_en)

begin

j<=0;

k<=0;

i<=i+1;

if(i<=16)

begin

//dex<=i;

//l<=0;

//m<=0;

led1[i]<=1;

//led1[i-j]<=0; end

elseif(i>16&&i<=32) begin

j<=k*2+1;

k<=k+1;

led1[i-j]<=0;

//dex<=dex-1; end

elseif(i>32&&i<=40) begin

//dex<=dex+1;

//l<=2*m+17;

led1[i-32]<=1;

led1[49-i]<=1; end

elseif(i>40&&i<=48) begin

led1[i-32]<=0;

led1[49-i]<=0;

//dex<=dex-1;

end

else

begin

i<=0;

led1<=16'b0000000000000000;

end

end

end

end

assign

led=led1;

endmodule

题目三:汽车尾灯控制电路设计

一、设计任务及原理:假设汽车尾部左右两侧各有3个指示灯,(1)汽车正常运行时,指示灯全灭。

(2)左转弯时,左侧3个指示灯按照左循环顺序点亮(1HZ)。

(3)右转弯时,右侧3个指示灯按照右循环顺序点亮(1HZ)。(4)临时刹车时,所有指示灯同时闪烁(1HZ)。

二、具体要求:

尾灯与汽车运行状态运行状态左尾灯右尾灯

表开关控制

S1S0 D1D2D3 D4D5D6

00 正常运行灯灭灯灭

01 左转弯按照

D3,D2,D1顺

序循环点亮

灯灭

10 右转弯灯灭按照

D4,D5,D6顺

序循环点亮

11 临时停车1HZ频率同时

闪烁1HZ频率同时闪烁

在此实验中数码管、LED、拨动开关与FPGA的连接电路和管脚连接在以前的实验中都做了详细说明,这里不在赘述。

端口名使用模块信

号对应FPGA管

S1 按键开关S1 R16 S2 按键开关S2 P14 D1 LED模块D1 L14 D2 LED模块

D2

M14

D3 LED模块

D3

N14 D4 LED模块N13

D4

D5 LED模块

P12

D5

N12

D6 LED模块

D6

脉冲源50MHZ CP J3 参考程序:

modulelwf(clk,ledag,s);

inputclk;

input[1:0]s;

output[5:0]ledag;

reg[5:0]led;

reg[1:0]i,j,k;

reg[31:0]cout;

regclk_en;

always@(posedgeclk)

begin

if(clk_en)

begin

if(s==2'b00)

begin

i<=0;j<=0;k<=0;

led<=6'b000000;

end

elseif(s==2'b01) begin

led<=6'b000000;

k<=0;

j<=0;

i<=i+1;

if(i==2'b01)

begin

led<=6'b001000;

end

if(i==2'b10)

begin

led<=6'b010000;

end

if(i==2'b11)

begin

led<=6'b100000;

i<=0;

end

end

elseif(s==2'b10) begin

led<=6'b000000;

k<=0;

i<=0;

j<=j+1;

if(j==2'b01)

begin

led<=6'b000100;

end

if(j==2'b10)

begin

led<=6'b000010;

end

if(j==2'b11)

begin

led<=6'b000001;

j<=0;

end

end

elseif(s==2'b11) begin

led<=6'b000000;

i<=0;

j<=0;

k<=k+1;

if(k==2'b01)

begin

led<=6'b000000;

end

if(k==2'b10)

begin

led<=6'b111111;

k<=0;

end

end

end

end

assign

ledag=led;

endmodule

题目四:数字电子实验设计题目:抢答器电路设计

一、设计任务及原理:抢答器在各类竞赛性质的场合得到了广泛的应用,它的出现,消除了原来由于人眼的误差而未能正确判断最先抢答的人的情况。抢答器的原理比较简单,首先必须设置一个抢答允许标志位,目的就是为了允许或者禁止抢答者按按钮;如果抢答允许位有效,那么第一个抢答者按下的按钮就将其清除,同时记录按钮的序号,也就是对应的按按钮的人,这样做的目的是为了禁止后面再有人按下按钮的情况。总的说来,抢答器的实现就是在抢答允许位有效后,第一个按

下按钮的人将其清除以禁止再有按钮按下,同时记录清楚抢答允许位的按钮的序号并显示出来,这就是抢答器的实现原理。

二、具体要求:

本实验的任务是设计一个四人抢答器,用按键模块的S8来作抢答允许按钮,用S1~S4来表示1号抢答者~4号抢答者,同时用LED模块的LED1~LED4分别表示于抢答者对应的位子。具体要求为:按下S8一次,允许一次抢答,这时S1~S4中第一个按下的按键将抢答允许位清除,同时将对应的LED点亮,用来表示对应的按键抢答成功。数码管显示对应抢答成功者的号码。

在此实验中数码管、LED、按键开关与FPGA的连接电路和管脚连接在以前的实验中都做了详细说

明,这里不在赘述。端口名使用模块

信号

对应FPGA

管脚

说明

S1 按键开关

S1 R16 表示1号抢

答者

S2 按键开关

S2 P14 表示2号抢

答者

S3 按键开关

S3 P16 表示3号抢

答者

S4 按键开关

S4 P15 表示4号抢

答者

S8 按键开关

S12 M15 开始抢答

按键

DOUT0 LED模块D1 L14 1号抢答者

灯DOUT1 LED模块D2 M14 2号抢答者

灯DOUT2 LED模块D3 N14 3号抢答者

灯DOUT3 LED模块D4 N13 4号抢答者

LEDAG0 数码管模

块A段N4 抢答成功

号码显示

LEDAG1 数码管模

块B段

G4

LEDAG2 数码管模

块C段

H4

参考程序:

moduleliu1(s1,s2,s3,s4,s8,led,ledag);

inputs1,s2,s3,s4;

inputs8;

output[6:0]ledag;

output[3:0]led;

reg[3:0]led;

regk;

reg[6:0]ledag1;

//initial

//k<=0;

always@(s8)

begin

if(s8==0)begink<=1;led<=4'b0000;ledag1<=7'b0111111;end else

begin

if(k==1)

begin

if(~s1)

begin

led<=4'b1000;

ledag1<=7'b0000110;

k<=0;

end

elseif(~s2)

begin

led<=4'b0100;

ledag1<=7'b1011011;

k<=0;

end

elseif(~s3)

begin

led<=4'b0010;

ledag1<=7'b1001111;

k<=0;

end

elseif(~s4)

begin

led<=4'b0001;

ledag1<=7'b1100110;

k<=0;

end

end

end

end

assign

ledag=ledag1;

endmodule

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

实验四-循环结构汇编语言程序的设计实验报告

循环结构汇编语言程序设计实验报告

实验四循环结构汇编语言程序设计 一、实验目的 1、学习循环结构的汇编语言程序的设计和调试。 2、学习通过直接对8086计算机的寄存器和存的直接访问,编写更高效简洁的汇编程序。 3、加深掌握计算机整体的工作过程。 3、加深对排序算法的理解。 二、实验任务 编写程序求出数组A中(20个元素)的最大值和最小值(数组没有排序)。 要求至少采用二种不同的排序算法来实现。( 快速排序,归并排序、堆排序、Shell排序、插入排序、冒泡排序、交换排序、选择排序、基数排序……) 三、实验容 为了更好地实现老师所布置的实验任务,我们根据情况选取以下两种方式实

验。 1、利用冒泡排序的方式求解数组A中元素的最大值最小值。设计流程图如 下所示: 2、利用选择排序的方式求得数组A中元素的序列。设计流程图如下所示:

四、实验环境 PC机: winXP/win7(32位)/win7(64位)+winxp 虚拟机 汇编工具:Masm.exe+Link.exe。 五、实验步骤 1)建立和生成的文件 (1)编写的源程序,源程序名为abc、扩展名为.asm (2)源程序经汇编程序Masm.exe汇编(翻译)后生成二进制目标程序,文件名为abc.obj (3)目标程序需要经Link.exe连接生成可执行程序,文件名为abc.exe 2)汇编环境 最基本的汇编环境只需要两个文件:Masm.exe和Link.exe。将这两个文件拷入到已经建好的文件夹(例如 huibian)中,并将文件夹huibian放在硬盘根

目录C :\>下 3)上机步骤 进入DOS窗口中执行。 4)调试程序 进入DEBUG后,调试程序 5)调试成功后重新汇编、连接并生成可执行代码 6)执行程序,并对运行结果截图。 利用冒泡排序求得数组A中元素的最大值最小值的实验结果如下图所示:(说明:输入数据为:13,0,59,900,587,1,657,234,34,48) 利用选择排序对数组A中元素排序得到的序列得实验结果如下图所示:(说明:输入数据为13,0,59,900,587,1,657,234,34,48)

数电实验考试 verilogHDL语言及参考程序

题目一:表决器电路设计 一、设计任务及原理:所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。 二、具体要求: 本实验就是利用实验系统中的拨动开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。拨动开关模块中的K1~K7表示七个人,当拨动开关输入为‘1’时,表示对应的人投同意票,否则当拨动开关输入为‘0’时,表示对应的人投反对票;LED模块中LED1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 在此实验中数码管、LED、拨动开关与FPGA的连接电路和管脚连接使用模块 信号 对应FPGA 管脚 说明

在以前的实验中都做了详细说明,这里不在赘述。端口名 S1 按键开关 S1 R16 表示1号抢 答者 S2 按键开关 S2 P14 表示2号抢 答者 S3 按键开关 S3 P16 表示3号抢 答者 S4 按键开关 S4 P15 表示4号抢 答者 S5 按键开关 S5 M15 表示5号抢 答者 S6 按键开关 S6 N16 表示6号抢 答者 S7 按键开关 S7 N15 表示7号抢 答者 DOUT0 LED模块D1 L14 表决结果 亮为通过 LEDAG0 数码管模 块A段N4 抢答成功 者 号码显示 LEDAG1 数码管模 块B段 G4

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

汇编语言学习知识程序设计实验

目录 目录 (1) 实验一利用DEBUG熟悉常用指令的使用 (2) 一、实验目的 (2) 二、示例 (2) 三、实验题 (2) 实验二汇编程序和连接程序的使用 (6) 一、实验目的。 (6) 二、示例。 (6) 三、实验题。 (8) 实验三顺序结构程序设计 (11) 一、实验目的 (11) 二、示例 (11) 三、实验题 (12) 四、实验报告 (15) 实验四循环结构程序设计 (16) 一、实验目的 (16) 二、示例 (16) 三、实验题 (18) 实验五分支结构程序设计 (21) 一、实验目的 (21) 二、示例 (21) 三、实验题 (22) 实验六子程序设计 (29) 一、实验目的 (29) 二、实验题 (29)

实验一利用DEBUG熟悉常用指令的使用 一、实验目的 熟悉指令系统,掌握常用指令的用法;通过实验加深对各种寻址方式的理解;能熟练使用DEBUG中的命令对指令进行反汇编,观察并了解机器代码。 二、示例 请分别用一条汇编语言指令完成如下功能:(过程略)。 1.用寄存器BX和SI的基址变址寻址方式把存储器的一个字节与AL寄存器的内容相加,并把结果送到AL中。 ADD AL,[BX][SI] 2.用位移量为0520H的直接寻址方式把存储器中的一个字与3412H相加,并把结果送回该存储单元。 ADD WORF PTR [0520H],3412H 三、实验题 1.调试、验证下列指令的结果,已知(DS)=2000H,(BX)=0100H,(SI)=0002H,(BP)=0200H,(SS)=2300H,(20100)=12H,(20101)=34H,(20102)=56H,(20103)=78H,(21200)=2AH,(21201)=4CH,(21202)=B7H,(21203)=65H,(23204)=88H,说明各指令执行完后AX寄存器的内容。要求输入指令,相应存储单元的内容要事先设置,单步 P执行,观察执行结果。 (设置存储器的值)

数电实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

汇编语言程序设计课后习题解答宋人杰2版

第1章汇编语言基础知识 1.简述汇编语言源程序、汇编程序、和目标程序的关系。 答:用汇编语言编写的程序称为汇编源程序;汇编源程序在汇编程序的翻译下转换成计算机语言变成目标程序。 2. 简述汇编语言的优缺点。 答:(1) 汇编语言的优点: ①可有效地访问、控制计算机各种硬件设备,如磁盘、存储器、CPU、I/O端口等。. ②目标代码简短,占用内存少,执行速度快,是高效的程序设计语言。 ③可与高级语言配合使用,应用十分广泛。 (2) 汇编语言的缺点: ①通用性和可移植性较差 ②相对于高级语言来说较繁锁、易出错、不够直观。 3.CPU的寻址能力为8KB,那么它的地址总线的宽度为多少? 答:13 4. 1KB的存储器有多少个存储单元? 答:1024个字节。 5. 指令中的逻辑地址由哪两部分组成? 答:指令中的逻辑地址由段基址和偏移量组成。 6. 以下为用段基址:偏移量形式表示的内存地址,试计算它们的物理地址。 (1) 12F8:0100 (2) 1A2F:0103 (3) 1A3F:0003 (4) 1A3F:A1FF 答: (1) 13080H (2) 1A3F3H (3) 1A3F3H (4) 245EFH 7. 自12FA:0000开始的内存单元中存放以下数据(用十六进制形式表示): 03 06 11 A3 13 01,试分别写出12FA:0002的字节型数据、字型数据及双字型数据 的值。 答:字节型数据:11H 字型数据:0A311H 双字型数据:0113A311H 8. 内存中某单元的物理地址是19318H,段基地址为1916H,则段内偏移地址为 多少?若段内偏移地址为2228H,则段基地址为多少? 答:若段基地址为1916H,则段内偏移地址为01B8H;若段内偏移地址为2228H,则段基地址为170FH 9. 在实模式环境中,一个段最长不能超过多少字节? 答:64KB 10. 实模式可寻址的内存范围是多少? 答:1MB

模电实验指导书test2

实验一、常用仪器的使用及常用器件的认识、检测一、实验目的 1.学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的技术指标、性能及正确使用方法。 2.初步掌握双踪示波器观察正弦信号波形和读书波形参数的方法。 3.认识常见的电子元器件及其检测方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等。它们和万用电表在一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各中电子仪器进行综合使用,可按照信号流向,一连先简捷,调节顺手,观察与读数方便等原则进行合理布局,个仪器与被册实验装置之间的布局与连线如图1——1所示。接线是应注意,为了防止外界的干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流伏安表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 1.示波器 在本书实验附录中已对常用的GOS-620型双踪示波器的原理和使用做了较详细的说明,先着重指出下列几点: 1)寻找扫描光迹点 在开机半分钟后,如还找不到光点,可调节亮度旋钮,并按下“寻迹”键,从中判断光点的位置,然后适当调节垂直(↑↓)和水平()移位旋钮,将光点移至荧光屏的中心位置。 2)为了显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。 a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确定。 b、“触发源的选择”开关(内、外)——通常选为内触发。 c、“内触发源的选择”开关(拉YB)——通常至于常态(推进位置)。此时对单一从 YA或YB输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB )位置,此时触发信号仅取自YB,故仅对YB输入的信号同

数字电路 实验考试内容 西北农林科技大学

西北农林科技大学 数字电路 实验考试内容 实验八(一) 时序逻辑电路设计 一、设计内容 1.用JK 触发器设计一个8421码十进制同步加法计数器。 时钟信号CP 由实验箱的“单次”或1Hz 自动秒脉冲提供,计数器输出状态用实验箱上的LED 数码管检测,记录实验结果。 用实验箱上的1kHz 时钟信号作为计数器的计数脉冲CP ,用示波器观察并记录CP 及计数器各输出端的对应波形。 2.用D 或JK 触发器设计一个110串行序列信号检测器。 输入信号由电平输出器提供,时钟信号CP 接逻辑实验箱的“单次” 时钟信号。当连续输入信号110时,该电路输出1,否则输出0。设依次送入的信号为001101110。 3.用D 触发器设计一个同步四相时钟发生器,其输入时钟CP 及各输出波形如图实验8.1所示。 输入时钟CP 为1kHz 时钟信号,用示波器观察时钟信号CP 与各输出端对应的波形。 二、设计要求 1.用Mutisim2001进行电路仿真。 2.画出时序逻辑电路原理图。 3.叙述集成触发器的逻辑功能和使用。 4.写出设计过程,并记录实验结果。 Q 1 Q 2 Q 3 Q 4 CP Q 1 Q 2 Q 3 Q 4 图实验8.1 四相时钟发生器输入、输出波形

三、设计过程 1. 用JK 触发器设计一个8421码十进制同步加法计数器。 (1).状态真值表: , (3)电路图: 2.用D 或JK 触发器设计一个110串行序列信号检测器。 (1)状态图: >C1 >C1 >C1 >C1

(2)原始状态表: A:00 B:10 C:11 现态 ( (6)激励方程:, (7)电路图:

数电实验指导书(2016年14级)

实验一:门电路实验 一、实验目的: 熟悉、掌握门电路的逻辑功能 二、实验仪器和设备: 1、TPE-D6型数字电路学习机2、数字万用表 三、实验原理及主要知识点 1.与非门_____ AB F =(有0出1,全1出0) 2.与或非门___ __________CD AB F +=(画真值表自行总结) 3.或门B A F +=(有1出1,全0出0) 四、实验步骤 实验前的准备:在学习机上未接任何器件的情况下(指实验用插座部分),先合上交流电源,检查5V 电源是否正常,再合直流电源测V CC 处电压是否正常,测两排插口中间V CC 插口处电压是否正常,全正常后断开全部电源。 随后选择好实验用集成片,查清集成片的引腿及功能,然后根据实验图接线,特别注意V CC 及地的接线不能接错,待老师检查后方可接通电源进行实验,以后所有实验依此办理。 (一) 测与非门的逻辑功能 1、选双4输入正与非门74LS20集成芯片一只;选择一个组件插座(片子先不要插入)按图接好线。 2、输入端接电平开关输出插口,输出端接发光二极管显示插口。 3、拨动电平开关,按表中情况分别测出输出端电平。 (二)、测与异或门的逻辑功能 1、选两路四输入与或非门电路74LS55集成芯片一只;选择一个组件插座(片子先不要插入)按图接线。 4 双4输入正与非门74LS20

2、 (三)根据摩根定理或门的逻辑函数表达式B A Z +=,可以写成B A Z ?=,因此可以用三个与非门构成或门。 (1) 将由三个与非门构成的或门测试电路画在下面空白处。 (2) 当输入端(A 、B )为下列情况时,分别测输出端(Z )的电位,将结果填入表中。 五、实验思考题及实验报告要求 整理实验数据,并对数据进行分析,根据实验观察到的现象,回答下列问题。 1与非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与非门不用的输入端应如何处理? 2与或非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与或非门不用的与门应如何处理? 实验二 组合逻辑电路实验 一、实验目的 (一) 掌握组合逻辑电路的分析方法 (二) 验证半加器的逻辑功能 (三) 了解二进制数的运算规律 二、实验仪器及设备 (一) TPE-D6型数字电路学习机 (二)数字万用表 三、实验原理及主要知识点 组合逻辑电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或 4个二输入异或门74LS86

汇编语言程序设计实验报告

微机原理实验报告 实验名称汇编语言程序设计 一、实验目的 1、掌握Lab6000p实验教学系统基本操作; 2、掌握8088/8086汇编语言的基本语法结构; 3、熟悉8088/8086汇编语言程序设计基本方法 二、实验设备 装有emu8086软件的PC机 三、实验内容 1、有一个10字节的数组,其值分别是80H,03H,5AH,FFH, 97H,64H,BBH,7FH,0FH,D8H。编程并显示结果:如果数组是无符号数,求出最大值,并显示; 如果数组是有符号数,求出最大值,并显示。 2、将二进制数500H转换成二-十进制(BCD)码,并显示“500H 的BCD是:” 3、将二-十进制码(BCD)7693转换成ASCII码,并显示“BCD 码7693的ASCII是:” 4、两个长度均为100的内存块,先将内存块1全部写上88H,再 将内存块1的内容移至内存块2。在移动的过程中,显示移动次数1,2 ,3…0AH…64H(16进制-ASCII码并显示子程序) 5、键盘输入一个小写字母(a~z),转换成大写字母 显示:请输入一个小写字母(a~z): 转换后的大写字母是: 6、实现4字节无符号数加法程序,并显示结果,如99223344H + 第1页

99223344H = xxxxxxxxH 四、实验代码及结果 实验代码见代码附录 1.1程序运行结果 图1 无符号最大值结果截图 1.1 程序运行结果

图2 有符号最大值截图2.0 程序运行结果

图3 BCD码显示3.0 程序运行结果

图4 ASCII码显示4.0 程序运行结果

图5 移动次数显示5.0 程序运行结果

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

8086汇编语言程序设计

实验1 简单汇编语言程序设计 一、实验目的与要求 1.熟悉汇编语言运行、调试环境及方法。 2.掌握简单汇编语言程序的设计方法。 3.熟悉调试工具DEBUG,并运用DEBUG 工具调试程序。 二、实验内容 根据下列要求,编写汇编源程序,汇编连接汇编源程序,并利用DEBUG 工具调试程序,验证程序的正确性。 1. 若X、Y、R、W 是存放8 位带符号数字节单元的地址,Z 是16 位字单元的 地址。试编写汇编程序,完成Z←((W-X) ÷5-Y)?(R+ 2) 。 2.试编写一个程序,测试某数是否是奇数。如该数是奇数,则把DL 的第0 位置1,否则将该位置0。 三、实验报告要求 1.程序算法流程图。 2.源程序清单。 3.程序运行结果。 4.调试过程中遇到的问题和解决的方法。

实验2 分支及循环程序设计 一、实验目的与要求 1.熟悉汇编语言运行、调试环境及方法。 2.掌握分支程序和循环程序的设计方法。 3.熟悉调试工具DEBUG,并运用DEBUG工具调试程序。 二、实验内容 根据下列要求,编写汇编源程序,汇编连接汇编源程序,并利用DEBUG工具调试程序,验证程序的正确性。 1.编写汇编程序,统计某存储区若干个数据中英文字母的个数,并将结果在屏幕上显示。 2.从键盘任意输入一组字符数据,请编写汇编程序将该组数据加密后在屏幕上显示。参考加密方法是:每个数乘以2。(说明:本题的加密方法,同学们可以自己拟定) 三、实验报告要求 1.程序算法流程图。 2.源程序清单。 3.程序运行结果。 4.调试过程中遇到的问题和解决的方法。

实验3 子程序程序设计 一、实验目的与要求 1.熟悉汇编语言运行、调试环境及方法。 2.掌握子程序的设计方法。 3.熟悉调试工具DEBUG,并运用DEBUG工具调试程序。 二、实验内容 根据下列要求,编写汇编源程序,并利用DEBUG工具调试程序,验证程序的正确性。 1.编程以十进制形式和十六进制形式显示AX的内容,并把两个显示功能分别封装成子程序dispDEC和dispHEX。 2.设在以EXAMSCORE为首地址的数据缓冲区依次存放某班10名同学5门功课的成绩,现要统计各位同学的总分,并将总分放在该学生单科成绩后的单元,并调用第1个程序封装好的子程序,以十进制方式显示统计情况,显示格式自行设计。请编程完成此功能。数据缓冲区参考数据定义如下: EXAMSCORE DB 01 ;学号 DB 89,76,54,77,99 ;单科成绩 DW ? ;该学生的总分 DB 02 ;学号 DB 79,88,64,97,92 ;单科成绩 DW ? ;该学生的总分 三、实验报告要求 1.程序算法流程图。 2.源程序清单。 3.程序运行结果。 4.调试过程中遇到的问题和解决的方法。

高电压技术实验指导书_学生用_

实验一.电介质绝缘特性及电击穿实验 一.实验目的: 观察气隙击穿、液体击穿以及固体沿面放电等现象及其特点,认识其发展过程及影响击穿电压的各主要因素,加深对有关放电理论的理解。 二.预习要点: 概念:绝缘;游离;电晕;电子崩;流注;先导放电;自持放电;滑闪放电;沿面放电;小桥;电击穿;热击穿。 判断:空气是绝缘介质;纯净液体的击穿是电击穿,非纯净液体的击穿是热击穿,绝缘油的击穿电压受油品、电压作用时间、电场分布情况及温度的影响较大,电弧会使油分解并产生炭粒;沿面放电是特殊的气体放电,分三个阶段,沿面闪络电压小于气隙击穿电压。 推理:变压器油怕受潮;油断路器有动作次数的限制; 相关知识点:电场、介质极化、偶极子、介电常数、Paschen定律、Townsend理论、流注理论、伏秒特性、大气过电压、内部过电压。 三.实验项目: 1.气体绝缘介质绝缘特性及电击穿实验 ⑴.电极形状对放电的影响 ①.球球间隙 ②.针板间隙 ③.针针间隙 ⑵.电场性质对放电的影响 ①.工频交流电场 ②.直流电场 ⑶.极性效应 ①.正针负板 ②.负针正板 2.液体绝缘介质绝缘特性及电击穿实验 ⑴.导电小桥的观察 ⑵.抗电强度的测试 3.固体绝缘介质绝缘特性及电击穿实验 ⑴.刷状放电的观察 ⑵.滑闪放电的观察 ⑶.沿面闪络的观察 四.实验说明: 1.气体绝缘特性: ⑴.气体在正常情况下绝缘性能良好(带电粒子很少); ⑵.气体质点获得足够的能量(大于其游离能)后,将会产生游离,生成正离子和电子; ⑶.气体质点获得能量的途径有:粒子撞击、光子激励、分子热碰撞; ⑷.气隙中除了有气体质点游离产生的带电粒子外,还存在金属电极表面的逸出电子; ⑸.气隙加上电场,气隙中的带电粒子将顺电场方向加速运动,造成大量的粒子碰撞,但产生气体质点游离的撞源粒子是电子;

汇编语言程序设计实验篇emu

1.汇编语言程序设计实验篇 1.1.汇编系统软件简介 Emu8086-Microprocessor Emulator是集源代码编辑器、汇编/反汇编工具以及debug 的模拟器。它能模拟一台"虚拟"的电脑运行程序,拥有独立的“硬件”,避免访问真实硬件。该软件兼容Intel的下一代处理器,包括PentiumII、Pentium4。利用该软件提供的调试工具,能够单步跟踪程序,观察程序执行过程中寄存器、标志位、堆栈和内存单元的内容。 1.1.1创建程序 https://www.360docs.net/doc/7417419748.html, TEMPLATE程序 本章与指令相关的实验都是用COM TEMPLATE类型的程序完成的。打开emu8086,在“welcome…”对话框中,单击按钮,创建文件。 在“choose code template”对话框中,选择“COM template-simple and tiny executable file format, pure machine code.”后,单击按钮。

在如所示的编辑界面中,在“;add your code here”部分输入相应的指令,第一条指令默认的偏移地址为100h。 输入全部指令后,单击按钮,保存相应的程序段。 2.EXE TEMPLATE程序 本章与DOS功能调用和汇编源程序相关的实验都是用EXE TEMPLATE程序完成的。打开emu8086,在“welcome…”对话框中,单击按钮,创建文件。

在“choose code template”对话框中,选择“EXE template-advanced executable file.header: relocation, checksum.”后,单击按钮。 在如图所示的编辑界面中,已经可以给出了源程序的框架,包含数据段、堆栈段和代码段的定义以及必要的功能调用等,在“add your data here”和“;add your code here”部分可以分别输入相应的变量定义和指令。

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

新版汇编语言程序设计钱晓捷第1章习题答案

第1章汇编语言基础知识(全) 2010-10-18 19:32:40| 分类:答案集锦| 标签:|字号大中小订阅 第1章汇编语言基础知识 〔习题1.1〕简述计算机系统的硬件组成及各部分作用。 〔解答〕 CPU:包括运算器、控制器和寄存器组。运算器执行所有的算术和逻辑运算;控制器负责把指指令逐条从存储器中取出,经译码分析后向机器发出各种控制命令,并正确完成程序所要求的功能;寄存器组为 处理单元提供所需要的数据。 存储器:是计算机的记忆部件,它用来存放程序以及程序中所涉及的数据。 外部设备:实现人机交换和机间的通信。 〔习题1.2〕明确下列概念或符号: 主存和辅存,RAM和ROM,存储器地址和I/O端口,KB、MB、GB和TB 〔解答〕 主存又称内存是主存储器的简称,主存储器存放当前正在执行的程序和使用的数据,CPU可以直接存取,它由半导体存储器芯片构成其成本高、容量小、但速度快。辅存是辅助存储器的简称,辅存可用来长期保存大量程序和数据,CPU需要通过I/O接口访问,它由磁盘或光盘构成,其成本低、容量大,但速 度慢。 RAM是随机存取存储器的英语简写,由于CPU可以从RAM读信息,也可以向RAM写入信息,所以RAM也被称为读写存储器,RAM型半导体存储器可以按地址随机读写,但这类存储器在断电后不能保存信息;而ROM中的信息只能被读出,不能被修改,ROM型半导体通常只能被读出,但这类存储器断电 后能保存信息。 存储器由大量存储单元组成。为了区别每个单元,我们将它们编号,于是,每个存储单元就有了一个存储地址,I/O接口是由一组寄存器组成,为了区别它们,各个寄存器进行了编号,形成I/O地址,通常 称做I/O端口。 KB是千字节、MB是兆字节、GB是吉字节和TB是太字节,它们都是表示存储器存储单元的单位。 〔习题1.3〕什么是汇编语言源程序、汇编程序、目标程序? 〔解答〕 用汇编语言书写的程序就称为汇编语言源程序;完成汇编工作的程序就是汇编程序;由汇编程序编 译通过的程序就是目标程序。

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

数字电路实验指导书(第一次实验用)

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、前言 二、实验一基本电路逻辑功能实验 三、实验二数字键输入编码功能实现电路设计 四、实验三二进制数字存储功能电路设计 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则 十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加

相关文档
最新文档