《数字电子技术》复习知识点

《数字电子技术》复习知识点
《数字电子技术》复习知识点

《数字电子技术》重要知识点汇总

一、主要知识点总结与要求

1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。

举例1:(37、25)10= ( )2= ( )16= ( )8421BCD

解:(37、25)10= ( 100101、01 )2= ( 25、4 )16= ( 00110111、00100101 )8421BCD

2.逻辑门电路:

(1)基本概念

1)数字电路中晶体管作为开关使用时,就是指它的工作状态处于饱与状态与截止状态。

2)TTL门电路典型高电平为3、6 V,典型低电平为0、3 V。

3)OC门与OD门具有线与功能。

4)三态门电路的特点、逻辑功能与应用。高阻态、高电平、低电平。

5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。

要求:掌握八种逻辑门电路的逻辑功能;掌握OC门与OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。

举例2:画出下列电路的输出波形。

解:由逻辑图写出表达式为:C

=

+

=,则输出Y见上。

Y+

+

B

B

A

A

C

3.基本逻辑运算的特点:

与运算:见零为零,全1为1;或运算:见1为1,全零为零;

与非运算:见零为1,全1为零;或非运算:见1为零,全零为1;

异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变1, 1 变零;

要求:熟练应用上述逻辑运算。

4、数字电路逻辑功能的几种表示方法及相互转换。

①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):就是由变量的所有可能取值组合及其对应的函数值所构成的表格。

②逻辑表达式:就是由逻辑变量与与、或、非3种运算符连接起来所构成的式子。

③卡诺图:就是由表示变量的所有可能取值组合的小方格所构成的图形。

④逻辑图:就是由表示逻辑运算的逻辑符号所构成的图形。

⑤波形图或时序图:就是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。

⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。

要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。

5.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,

“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。

②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y',Y'称为函Y的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则与对偶规则求逻辑函数的反函数与对偶函数。

举例3:求下列逻辑函数的反函数与对偶函数

解:反函数: ;对偶函数:

6.逻辑函数化简

要求:熟练掌握逻辑函数的两种化简方法。

①公式法化简:逻辑函数的公式化简法就就是运用逻辑代数的基本公式、定理与规则来化简逻辑函数。

举例4:用公式化简逻辑函数:C

B

BC

A

ABC

Y+

+

=

1

解:

②图形化简:逻辑函数的图形化简法就是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。(主要适合于3个或4个变量的化简)

举例5:用卡诺图化简逻辑函数:)6,4(

)7,3,2,0(

)

,

,

(d

m

C

B

A

Y∑

+

=

E

D

C

B

A

Y+

=

)

)(

(E

D

C

B

A

Y+

+

+

=)

)(

(E

D

C

B

A

Y+

+

+

=

'

解:画出卡诺图为 则B C Y += 7.触发器及其特性方程

1)触发器的的概念与特点: 触发器就是构成时序逻辑电路的基本逻辑单元。其具有如下特点:

①它有两个稳定的状态:0状态与1状态;

②在不同的输入情况下,它可以被置成0状态或1状态,即两个稳态可以相互转换;

③当输入信号消失后,所置成的状态能够保持不变。具有记忆功能

2)不同逻辑功能的触发器的特性方程为:

RS 触发器:n n Q R S Q +=+1,约束条件为:RS =0,具有置0、置1、保持功能。 JK 触发器:n n n Q K Q J Q +=+1,具有置0、置1、保持、翻转功能。

D 触发器: D Q n =+1,具有置0、置1功能。

T 触发器: n n n Q T Q T Q +=+1,具有保持、翻转功能。

T ′触发器: n n Q Q =+1(计数工作状态),具有翻转功能。

要求:能根据触发器(重点就是JK-FF 与D-FF )的特性方程熟练地画出输出波形。 举例6:已知J,K-FF 电路与其输入波形,试画出

8.脉冲产生与整形电路

数字电子技术总复习1

【教学内容】 第一章逻辑代数基础总结主要内容 ?数制和码制 ?逻辑代数的公式和定理 ?逻辑函数的表示方法 ?逻辑函数的化简方法 具体内容 ?二进制数、十进制数、十六进制数及其互相转换 ?各种BCD码(8421码、余3码、2421码、5211码、余3循环码)思考题: 1.以下代码中为无权码的为CD 。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2 一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 B.0 3 十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 4 与八进制数(47.3)8等值的数为:AB (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 5. 常用的BCD码有 C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 答案:1.C D 2.C 3.B 4.AB 5.CD 思考题. (10110010.1011)2=( )8=( )16 答案:262.54 B2.B 思考题. ( 0111 1000)8421BCD =()2=( )8=( )10=( )16 答案:1001110 116 78 4E ?各种逻辑运算(与、或、非、与非、或非、与或非、异或、同或)?逻辑代数的常用公式和定理 思考题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 2. 当逻辑函数有n个变量时,共有 D 个变量取值组合? A. n B. 2n C. n2 D. 2n 3. 逻辑函数的表示方法中具有唯一性的是 AD 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 4.求一个逻辑函数F的对偶式,可将F中的 ACD 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0”

数字电子技术知识点

《数字电子技术》知识点 第1章数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= 2= ( 16= 8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变 1, 1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数:E D C B A Y += 解:反函数:))((E D C B A Y +++= 对偶函数:))((E D C B A Y D ++ += 7.逻辑函数化简 (1)最小项的定义及应用; (2)二、三、四变量的卡诺图。 要求:熟练掌握逻辑函数的两种化简方法。 ①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4:用公式化简逻辑函数:C B BC A ABC Y ++=1 解:B C B BC C B BC A A C B BC A ABC Y =+=++=++=)(1 举例5:用公式法化简逻辑函数为最简与或式:BC B C A B C A F +++?= 解:BC B B C A BC B C A B C A BC B C A B C A F ++=++=+++=)( C A BC C A BC C A +=++=+= 举例6:用公式法化简逻辑函数为最简与或式:)(A B A ABC B A F +++= 解:)(A B A ABC B A F +++= )()(A B A ABC B A +?+= =)()(A B A ABC B A ++?+=)()(B A A ABC B A +?+ =A ABC B A ?+)(=0 ②图形化简:逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。(主要适合于3个或4个变量的化简) 举例7:用卡诺图化简逻辑函数:)6,4()7,3,2,0(),,(d m C B A Y ∑+∑= 解:画出卡诺图为 则B C Y += 举例8:已知逻辑函数C B A C B A B A Z ++=,约束条件为0=BC 。用卡诺图化简。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术基础1答案

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: (D ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: (C ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: (A ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( D ) A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( C ) A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( B ) A 、0V B 、0.3V C 、1.4V D 、1.8V 7、下列电路中不属于时序逻辑电路的是: (B ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( A ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 9、下面对时序逻辑电路的描述不正确的是: (A ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1 ,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 填空题 1. 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ = ' 6.) )( (C B D C B A F 7. 代数法卡诺图 8. 1 判断题 1. √ 2.√ 3. × 选择题 A F= 1⊙ B AB F 2 B A F+ = 3

C L = AB C B A BC Y 习题 1.1 当000012 A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L (b )B A AB L (c)C B A S ⊕⊕= AC BC AB C 0 略 (1) )(B A D C F )(1 ))((1B A D C F ++=' (2) )(B A B A F )(2 ))((2B A B A F ++=' (3) E D C B A F 3 DE C AB F ='3

(4) )()(4D A B A C E A F )( ))()((4D A C AB E A F +++=' C B A F ⊕⊕= (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L C B A BC A C AB ABC C B A L ),,( (1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B A D C AB C A CD F 4 (5) C B A ABD C B A D B A F 5 (6) 16 F (1) AD D C B B A F 1 (2) B A AC F 2 (3) D A D B C B F 3 (4) B C F 4 (1) C A B F 1 (2) B C F 2 (3) D A B C F 3 (4) C B A D B D C F 4 C A B A D F (1) D B A D C A D C B F 1(多种答案) (2) C B BC D D C D B F 2

数字电子技术试卷及答案

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) 门 门 C. 漏极开路门 与非门 3.以下表达式中符合逻辑运算法则的是( D ) ·C =C 2 +1=10 C.0<1 +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) .3 C 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) .2 C 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器( B ) +1 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) =A B ,K =B A =A B ,K =B A =B A +,K =A B =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C )

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术试题及答案05

试卷五 一、填空题(20分) 1.数字信号只有 和 两种取值。 2.十进制123的二进制数是 ;八进制数是 ;十六进制数是 。 3.设同或门的输入信号为A 和B ,输出函数为F 。 若令B=0,则F= 若令B=1,则F= 4.三态门的输出有 、 、 三种状态。 5.设JK 触发器的起始状态Q=1 若令J=1,K=0,则=+1n Q 。 若令J=1,K=1,则=-1n Q 。 6.BCD 七段翻译码器输入的是 位 码,输出有 个。 7.一个N 进制计数器也可以称为 分频器。 8.有一个6位D/A 转换器,设满度输出为6.3V ,输入数字量为110111,则输出模拟电压为 。 9.设ROM 容量为256字×8位,则它应设置地址线 条,输出线 条。 10.用256字×4位RAM ,扩展容量为1024字×8位RAM ,则需要 片。 二 、选择题(20分) 1. 离散的,不连续的信号,称为( ) A 、模拟信号 B 、数字信号 2. 组合逻辑电路通常由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 3. 十六路数据选择器的地址输入(选择控制)端有( )个 A 、16 B 、2 C 、4 D 、8 4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( ) A 、4:6 B 、1:10 C 、4:10 D 、2:4 5. 能实现脉冲延时的电路是( ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 6.8线—3线优先编码器的输入为70I I - ,当优先级别最高的7I 有效时,其 输出012Y Y Y 的值是( ) A 、111 B 、010 C 、000 D 、101 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A 、J=K=0 B 、J=K=1 C 、J=O ,K=1 8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( ) A 、1011—0110—1100—1000—0000 B 、1011—0101—0010—0001—0000 9.有一位二进制数码需要暂时存放起来,应选用( )

数字电子技术基础1

数字电子技术基础模拟卷1 、单项选择题 1、将十进制数56转换成8421BCD 码应是: A 、(56) 10= (0011 1000 8421BCD B 、(56) 10= (0011 1001) C 、(56) 10= (0101 100C ) 8421BC D D 、(56) 10= (0101 0110) 2、使晶体三极管工作于饱和区的电压条件是: A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 系叫做: 4、在功能表中 >的含义是: A 、表示咼电平 5、下列4个电路中能实现L AB 逻辑关系的是: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: F 列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面 对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 8421BCD 8421BCD A 、同或 B 、与非 C 、异或 D 、或非 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 =1 >1 A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器 L A A B B A B

B 、 时序电路包含组合电路和存储电路两部分。 C 、 时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成 D 、 时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为4KX8位, 需要几片2114 () A 、4片 B 、2片 C 、8片 D 、16 片 11、已知逻辑函数L ABC D ,则其反函数F 为: () A 、A BCD B 、A BCD C 、A BCD D 、A BCD 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V , R F =R ,当输入全 1时,输出电压的绝对值为: () 二、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 号码分别填在题干的括号内。多选、少选、错选均无分。) 1、 逻辑函数L (AB AB)C 中,变量A 、B 、C 取哪些值时,L 的值为1。 ()()()() A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 D 、ABC 取 111 2、 描述触发器逻辑功能的方法有: ()()()() A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 3、 比较下列几个数的大小,正确的结果是: ()()()() A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10 D 、(2A ) 16 >( 101101) 2 4、 在下式中选出正确的逻辑代数公式: 10V 256 255 B 、 10V 1 1024 C 、 10V 1023 1024 D 、 10V 256

数字电子技术基础学习总结

数字电子技术基础学习总结 光阴似箭,日月如梭。有到了这个学期的期末,对我来说又是一次对知识的大检查。 这学期总共学习了4章,分别是数字逻辑基础、逻辑门电路基础、组合逻辑电路、触发器。 在第一章学习数字逻辑基础包括模拟信号与数字信号、数字电路、数制、各种数制之间的转换和对应关系表、码制(BCD码、格雷码、ASCII码)、逻辑问题的描述(这个是重点)、逻辑函数的五种描述方法、逻辑函数的化简; 在数制里学习四种进制十进制、二进制、八进制、十六进制;十进制是逢十进一,二进制是逢二进一,在八进制中只是二进制的一种简便表示方法而已,它的规律是逢八近一,而十六进制有09ABCDEF十六个数码这个要记住和一些算法。 比如十进制的534,八进制为1026,过程为: 534/8=66,余数为6; 66/8=8,余数为2; 8/8=1,余数为0; 1/8=0,余数为1;

仍然是从下往上看这些余数,顺序写出,答案为1026 所以在数制的之间转换有5种转换,10和2转换(除2取余数法,如上题一样),10和8转换对整数除8取余,对小数点乘8取整。10和16转换对整数除16取余,对小数点乘16取整,2和8转换对应关系3位二进制对应1位八进制可看对应关系图。2和16转换4位二进制对应1位十六进制数,可看对应关系图。 在码制的学习中学习了3种码BCD码、格雷码、ASCII码。 BCD码:用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码,还有几个常用的BCD码:8421(常用)、5421、2421、余3。 如8421码321的8421码就是(查表) 3 2 1 0011 0010 0001 原因:0011=8x0+4x0+1x2+1x1=3 、 0010=8x0+4x0+2x1+1x0=2、0001=8x0+4x0+2x0+1x1=1; 格雷码:有两个特点1相邻性2循环性。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

数字电子技术试卷及答案(免费版)[1]

第1页(共8页) 第2页(共8页) 《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三

相关文档
最新文档