多进制调制解调(DOC)

多进制调制解调(DOC)
多进制调制解调(DOC)

南华大学电气工程学院

通信原理课程设计

设计题目:多进制数字调制解调系统设计

专业:通信工程

学生姓名:学号:

起迄日期:2015 年6月29日~2015年7月10日指导教师:

系主任:

《通信原理课程设计》任务书

摘要:多进制数字调制基于二进制调制,通过采用多进制调制的方式,使得每个码元传送多个比特的信息,从而在信息传送速率不变的情况下提高频带利用率。与二进制类似,多进制调制有多进制振幅键控(MASK)、多进制频移键控(MFSK)、多进制相移键控(MPSK)和多进制差分相移键控(MDPSK)。本文介绍了多进制调制的原理,并通过Systemview软件,设计了MASK和MFSK调制解调系统。

关键词:多进制调制MASK MFSK

目录

1绪论 (6)

1.1引言 (6)

1.2 MASK调制的基本原理介绍 (7)

1.3 MFSK调制的基本原理介绍 (8)

2 MASK调制设计方法与步骤分析 (9)

2.1 建立仿真电路 (9)

2.2参数设置 (10)

2.3运行时间设置 (10)

2.4 运行系统 (11)

2.5测试结果和分析 (12)

3 MFSK调制设计方法与步骤分析 (13)

3.1 建立仿真电路 (13)

3.2参数设置 (14)

3.3运行时间设置 (14)

3.4 运行系统 (15)

3.5测试结果和分析 (15)

4 心得与体会 (16)

参考文献 (17)

附录 (18)

1绪论

1.1引言

二进制数字调制系统是数字通信系统最基本的方式,具有较好的抗干扰能力。但是由于一个码元只能传送两个比特的信息,因此其频带利用率较低,这一点使得其在实际应用中受到一定的限制。在信道频带受限时,为了提高频带利用率,通常采用多进制数字调制系统。其代价是增加信号功率和实现的复杂性。由信息

传输速率R

b 、码元传输速率R

B

和进制数M之间的关系可知,在信息传送速率不

变的情况下,通过增加进制数M可以降低码元传送速率,从而减小信号带宽,节约频带资源,提高系统的频带利用率。虽然多进制调制带来了信号功率上升和实现上更加复杂,但是随着现代社会的发展,对数据传输要求的迅速增长必然要求多进制调制的进一步应用,而电子技术的飞速发展也使得其调制解调的实现也变得相对简单起来,因此多进制调制的应用必然变得更加广泛。

与二进制数字调制系统相类似,若用多进制数字基带信号去调制载波的振幅,频率或相位,则可相应地产生多进制振幅调控、多进制数字频率调制和多进制数字相位调制。

1.2多进制振幅键控(4ASK)的调制解调原理

振幅键控(Amplitude Shift Keying,ASK)是利用载波的幅度变化来传递数字信号,而其频率和初始相位保持不变。在4Ask中,载波的幅度只有两种变化状态,分别对应四进制信息“0”或“1”或“2”或“3”

MASK信号的一般表达式为

e2ASK(t)=s(t)coswct

其中

s(t)=Σa n g(t-nTs)

式中:Ts为码元持续时间;g(t)为持续时间为Ts的基带脉冲波形,为简便起见,通常假设g(t)是高度为1、宽度等于Ts的矩形脉冲;an是第n个符号的电平取值。

MASK信号的产生方法通常有两种:数字键控法和模拟相乘法,相应的调制器如图1-1所示。图(a)就是一般的模拟幅度调制的方法,用乘法器实现;

在码元间隔0≤t ≤TS 内,可能发送的码元有M 种:si(t),i=1,2M 。

实际应用中,通常取M = 2k (k>1为整数)。M 进制幅度键控(MASK )使用M

种可能的取值对载波幅度进行键控,在每个码元间隔TS 内发送其中一种幅度的

载波信号。四进制幅度键控是使用4种可能的取值对载波幅度进行键控,在每个

码元间隔TS 内发送其中一种幅度的载波信号。如下图所示:

基带四电平单极性不归零信号

MASK 信号

()()cos MASK n s c n e t a g t nT t ω??=-????∑

01210,1,2,1,n M P P a P M P -????=???-??发送概率为发送概率为发送概率为发送概率为其中

101M i i P ==∑-

1.3 MFSK 的调制解调原理

(1)4FSK 调制

多进制数字频率调制是二进制数字振幅键控的推广,也称多频调制,它是利

用多个不同载波频率来表征数字信息的方法

实现2FSK 有两种方法,一种是键控法产生,二进制频移键控信号,即利用数字基

带信号控制的开关电路对两个不同的独立频率源进行选通。为高时选通载波一,

反之则选通载波二。另一种频移键控2FSK 是用数字基带信号去调制载波的频率,

因为数字信号的电平是离散的,所以载波频率的变化也是离散的.

4FSK 的基本原理和2FSK 是相同的,其调制可以用键控法和模拟的调频法来实现,

不同之处在于使用键控法时其供选的频率有4种

(2)4FSK 解调

实现4FSK 解调的方法也类似与2FSK ,分为相干、非相干等方式。这里采用

非相干解调。4FSK 非相干解调的原理如下图7所示:

图7FSK 非相干解调原理图

图11 4FSK 信号波形 f3 f1 f2 f4

T T T T

(3)4FSK的调制解调方框图:

2 基于systemview的MASK调制系统的设计仿真系统仿真如下图:

2.2 参数设置

Token 0 四电平数字基带信号(Amp=1v,频率=0HZ)Token 1 DSB-AM调制器(Amp=1v,频率=100HZ )Token 2 3 7 8 信号观察点

Token 4 载波信号(Amp=1v,频率=100HZ )Token 5 乘法器

Token 6 低通滤波器(Fc=12HZ,poles=3 ) Token 9 采样保持器(Ctrl Threshold=0.3v )Token 10 脉冲序列(Amp=1v,频率=10HZ )2.3 运行时间设置

2.4 运行系统

运行设计好的系统,在系统分析窗口观察各点波形。

2.5 测试结果与分析:

通过对比基带信号和最终解调信号发现波形完全吻合,符合预期设计目标。对比基带信号和已调信号的频谱图发现:在基带信号频率100HZ附近,其功率谱密度发生了变化。说明调制过程使信号的功率谱密度增大。

3 基于systemview的MFSK调制系统的设计仿真

3.1系统仿真图如下

4FSK的实现方法中我们选择一种方法进行调制,解调方法中,我们选择非相干解调方法。根据上面原理框图得上面的仿真图。

3.2参数设置

Token 0 四电平数字基带信号(Amp=1v,频率=10HZ)

Token 1 MFSK数字调制器(Amp=1v,频率=10HZ)

Token 9 10 31 50 51 52 19 53 54 55 56 信号观察点

Token 11 12 13 14 带通滤波器(截止频率分别为25~35HZ,

35~45HZ,45~55HZ,55~65HZ)Token 58 59 60 61 半波整流器

Token 62 63 64 65 低通滤波器(Amp=1v,频率=12HZ)

Token 21 23 24 25 阶跃信号(Amp=0.15v)

Token 20 22 26 27 模拟比较器(Tureout分别为-1 -0.5 0.5 1)

Token 29 加法器

Token 30 采样保持

Token 32 脉冲序列(Amp=1v,脉宽=0.001,频率=40HZ)

3.3运行时间设置

3.4运行系统

运行设计好的系统,在系统分析窗口观察各点波形。

3.5测试结果和分析

通过对比基带信号与最终解调信号,可以看出解调出来的信号与原信号相比,存在着些许时延,但在某些地方发生了电平跳变现象,经分析认为是由于噪声的影响。

分析观察基带信号与调制信号的频谱图,可以发现经过MFSK调制后,新后的功率谱密度增大,说明调制后的信号功率更高。

基带信号与最终解调信号波形图

基带信号与调制信号的频谱图

4 心得与体会

为时一个多星期的课程设计终于要完成了!在这个过程中,遇到过困难、挫折,也收获过喜悦。困难是面对题目时的一知半解,挫折是设计仿真过程中遇到的各种问题,而喜悦则是完成之后收获。记得刚开始拿到任务书时,因为对原理不甚了解以及对systemview软件使用的陌生,几乎无法找到头绪。但幸运的是经过请教老师以及与同学的讨论,还有去网上以及图书馆查找相关资料,终于开始慢慢上手,最终完成了课程设计。在这个过程中,我深刻体会对做事情首先要有头绪,不能一无所知便冲上去蛮干。同时要对所做的事情要有理解,不然做起来只会事倍功半,时间花了,但效果却不怎么好。通过这次的课程设计,我深刻了解了多进制调制的相关原理,通过运用systemview软件对系统进行仿真设计,了解并熟悉这一软件的使用。同时也在这一过程中发现了诸如没有真正理解自己所学过的知识,缺乏实际经验等不足。我想,通过这次课程设计,以后应该要理解所学内容,并与实践相结合,解决遇到的难题。

参考文献

[1]樊昌信,曹丽娜.通信原理(第7版).国防工业出版社,2012

[2]冯育涛.通信系统仿真.国防工业出版社,2009

[3]张辉、曹丽娜著.现代通信原理与技术(第2版).西安:西电出版社,2008

[4]孙屹、戴妍峰. SystemView通信仿真开发手册[M].北京:国防工业出版社,2004,11.

[5]青松、程岱松、武建华.数字通信系统的SystemView仿真与分析[M].

北京:北京航空航天大学出版社,2001,6.

[6]潘莉,郭东辉,纪安妮,刘瑞堂. 数字调制解调技术及其应用的研究进展[J].

电讯技术,2001,05:26-30.

[7]朱近康,邱玲. 移动通信调制技术的进展[J]. 中兴通讯技术,2001,03:52-55.

[8]文川. 多进制数字调制技术及应用[J]. 中国有线电视,2004,23:17-20.

[9]冯传岗. 论现代数字调制技术[J]. 有线电视技术,2003,04:69-76.

[10]李双焕,方金辉. 卫星通信系统中多进制调制方式的对比分析[J].

军民两用技术与产品,2014,10:55-57.

[11]徐现岭. 现代通信系统调制解调的基本技术和实现方法[D].西安电子科技大学,2008

[12]黄金平. 通信仿真软件System View及其应用[J]. 石油仪器,2004,01:47-49+68.

[13]邹丹. SystemView在现代通信原理课程中的应用[J]. 华东交通大学学报,2007,S1:51-53.

[14]蔡丽萍,洪利,卢晓轩. 基于System View的通信系统原理实验设计[J].

实验室研究与探索,2006,08:899-901.

[15]孙军军. 通信仿真软件System View介绍与应用[J].

石油天然气学报(江汉石油学院学报),2005,S1:302-304+10.

[16]陈军. 基于SystemView的通信原理教学实践[J]. 物理实验,2014,08:20-24.

[17]徐现岭. 现代通信系统调制解调的基本技术和实现方法[D].西安电子科技大学,2008.

正交振幅调制

《通信原理》课程设计 报告 二○一三~二○一四学年第一学期 学号 姓名 班级 电子工程系

目录 第一章绪论 (4) 1.1 QAM简介 (4) 第二章正交振幅调制 (5) 2.1 MQAM信号的星座图 (5) 2. 2 QAM的调制解调原理 (6) 第三章 16QAM调制解调系统实现与仿真 (6) 3.1 16QAM 调制模块的模型建立与仿真 (7) 3.1.1 串并转换模块 (7) 3.1.2 2/4电平转换模块 (9) 3.1.3 其余模块与调制部分的结果 (10) 3.2 16QAM解调模块的模型建立与仿真 (11) 3.2.1 相干解调 (11) 3.2.2 4/2电平判决与毛刺消除仿真电路 (11) 3.2.3 并串转换与最终解调结果对比 (13) 第四章仿真结果分析及总结 (15) 4.1 仿真结果分析 (15) 4.2 总结 (15)

第一章绪论 1.1 QAM简介 随着现代通信技术的发展,特别是移动通信技术高速发展,频带利用率问题越来越被人们关注。在频谱资源非常有限的今天,传统通信系统的容量已经不能满足当前用户的要求。正交幅度调制QAM(Quadrature Amplitude Modulation)以其高频谱利用率、高功率谱密度等优势,成为宽带无线接入和无线视频通信的重要技术方案。正交振幅调制QAM(Quadrature Amplitude Modulation)是一种频谱利用率很高的调制方式,其在中、大容量数字微波通信系统、有线电视网络高速数据传输、卫星通信系统等领域得到了广泛应用。在移动通信中,随着微蜂窝和微微蜂窝的出现,使得信道传输特性发生了很大变化。作为国际上移动通信技术专家十分重视的一种信号调制方式之一,正交振幅调制(QAM)在移动通信中频谱利用率一直是人们关注的焦点之一。 正交振幅键控是将两种调幅信号(2ask和2psk)汇合到一个信道的方法,因此会双倍扩展有效带宽。正交调幅被用于脉冲调幅,特别是在无线网络应用。正交调幅信号有两个相同频率的载波,但是相位相差90度(四分之一周期,来自积分术语)。一个信号叫I 信号,另一个信号叫Q信号。从数学角度将一个信号可以表示成正弦,另一个表示成余弦。两种被调制的载波在发射时已被混和。到达目的地后,载波被分离,数据被分别提取然后和原始调制信息相混和。 QAM是用两路独立的基带信号对两个相互正交的同频载波进行抑制载波双边带调幅,利用这种已调信号的频谱在同一带宽内的正交性,实现两路并行的数字信息的传输。该调制方式通常有二进制QAM(4QAM)、四进制QAM(l6QAM)、八进制QAM(64QAM)、…,对应的空间信号矢量端点分布图称为星座图,分别有4、16、64、…个矢量端点。电平数m 和信号状态M之间的关系是对于4QAM,当两路信号幅度相等时,其产生、解调、性能及相位矢量均与4PSK相同。正交振幅调制QAM(Quadrature Amplitude Modulation)是一种频谱利用率很高的调制方式,其在中、大容量数字微波通信系统、有线电视网络高速数据传输、卫星通信系统等领域得到了广泛应用。 第二章正交振幅调制 2.1 MQAM信号的星座图 正交振幅调制(QAM)是一种矢量调制,它是将输入比特先映射(一般采用格雷码)到一个复平面(星座)上,形成复数调制符号。正交调幅信号有两个相同频率的载波,但是相位相差90度(四分之一周期,来自积分术语)。一个信号叫I信号,另一个信号叫Q 信号。从数学角度将一个信号可以表示成正弦,另一个表示成余弦。两种被调制的载波在发射时已被混和。到达目的地后,载波被分离,数据被分别提取然后和原始调制信息相和。这样与之作幅度调制(AM)相比,其频谱利用率高出一倍。

多进制数字相位调制系统课程设计

目录 摘要 ................................................................................................................................................... I Abstract ............................................................................................................................................ II 1 引言 (1) 2 MPSK调制解调的原理 (2) 2.1 MPSK调制原理 (2) 2.2 4PSK信号产生 (3) 2.3 4PSK信号的解调原理 (3) 3 MPSK调制电路VHDL程序及仿真 (6) 3.1 FPGA中MPSK的实现 (6) 3.2 VHDL程序设计方法 (7) 3.4仿真结果及分析 (8) 4 MPSK解调程序及仿真结果 (10) 4.1解调VHDL程序 (10) 4.2 MPSK解调仿真结果 (12) 5 心得体会 (13) 6 参考文献 (14)

摘要 多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元。本论文在FPGAP(Field-rogrammable Gate Array,现场可编程门阵列)上实现MPSK(多进制相移键控)调制解调的功能。运用VHDL硬件描述语言进行编程,对整个MPSK系统进行仿真,得到仿真时序图,对程序代码进行XST综合,得到RTL视图。仿真结果表明该设计的正确性以及可行性,更清晰直观的了解到MPSK调制解调的原理。 关键词:MPSK;FPGA实现;VHDL语言

多进制数字调制系统抗噪性能分析

安康学院 学年论文﹙设计﹚ 题目多进制数字调制系统抗噪性能分析 学生姓名任永森学号 2009222343 所在院(系)安康学院 专业班级电子信息工程 09级(1班) 指导教师张申华 2012年 6月8日

多进制数字调制系统抗噪性能分析 (作者:任永森) (安康学院电子与信息工程系电子信息工程专业09级,陕西安康725000) 指导教师:张申华 【摘要】本文以双模噪声为背景噪声,详细分析了二进制数字调制系统的抗噪声性能。它是对原建立在高斯噪声基础上通信与信号处理理论的完善与补充,有一定的普遍意义。在理论分析的基础上,给出了仿真结果并进行了分析。 【关键词】双模噪声相干检测非相干检测高斯型混合 Anti-noise performance of M-ary digital modulation system Author: Ren Y ongsen (Department of electronics and Information Engineering Ankang University of electronic information engineering09,Ankang 725000,Shaanxi) Directed by Zhang Shenhua Abstract:The bimodal noise background noise, a detailed analysis of the binary digital modulation noise immunity performance of. It is to build in the Gauss noise based on communication and signal processing theory perfect and supplement, has certain common sense. On the basis of theoretical analysis, simulation results and analysis. Key words:Bimodal Noise coherent detection noncoherent detection Gauss hybrid 0 引言 通信与信号处理理论一般是建立在高斯噪声基础之上的,它对建立在高斯噪声基础上的数字调制系统中的背景噪声为高斯噪声时的性能分析理论上已经比较完善。非高斯噪声研究是现代信号处理的核心内容之一,其应用范围以涉及地球物理各个领域。在信号处理方法中,特别是对于各种污染非高斯噪声的接收信号的检测和处理,用高斯噪声进行近似分析不能得到满意效果,所以在处理信号和数据时,首先要分清混有那类噪声,建立其数学模型进行处理。非高斯噪声比高斯噪声更具

多进制GMSK信号产生与解调

第37卷第5期2015年10月 指挥控制与仿真 CommandControl&Simulation Vol 37一No 5Oct 2015 文章编号:1673?3819(2015)05?0133?06 多进制GMSK信号产生与解调 李崇远1,蒋宇中1,杨新友2 (1 海军工程大学电子工程学院,湖北武汉一430033; 2 解放军92771部队,山东青岛一266045) 摘一要:GMSK具有窄带宽和恒包络的良好特性,但现行的GMSK调制暴露了码间串扰和编码增益等问题三对多进制GMSK调制展开了研究,与现行的GMSK调制性能做了比较三首先介绍了多进制GMSK调制体制的基本原理,以4GMSK为例做了相关研究,讨论了调制指数和信号相位终值,然后根据恢复出的附加相位轨迹提出了抽样法和积分法两种解调方案三最后通过Matlab仿真来分析其误码率和频谱,从而得到其传输性能三多进制GMSK的进一步研究可在更高阶调制数和提高频带利用率上展开三关键词:多进制;4GMSK;调制体制;Matlab仿真 中图分类号:E96;TN911一一一一文献标志码:A一一一一DOI:10.3969/j.issn.1673?3819.2015.05.029一 SignalGenerationandDemodulationofM?aryGMSK LIChong?yuan1,JIANGYu?zhong1,YANGXin?you2 (1 NavalUniversityofEngineering,Wuhan430033;2 theUnit92771ofPLA,Qingdao266045,China) Abstract:GMSKhasgoodcharacteristicsofnarrowbandandconstantenvelope.HoweverthecurrentGMSKmodulationsystemexposestheproblemsofinter?symbolinterferenceandcodinggain.Multi?aryGMSKmodulationisinvestigatedanditsperformanceiscomparedwiththecurrentGMSKmodulation.ThebasicprinciplesofM?aryGMSKmodulationsystemisintro?duced.4GMSKmodulationisconsideredasanexampleanditsmodulationindexandsignalphaseterminalvalueisdis?cussed.Basedontheadditionalphasetrajectorythesamplingmethodandintegralmethodareproposedtodemodulatethesig?nal.ThetransmissionperformanceofmodulationsystemisobtainedbyanalyzingitsbiterrorrateandfrequencyspectrumthroughMatlabsimulation.FurtherresearchofM?aryGMSKcanbefocusedonhigherordermodulationandimprovementofbandwidthefficiency. Keywords:multi?ary;4GMSK;modulationsystem;Matlabsimulation 收稿日期:2015?05?20 修回日期:2015?05?27 作者简介:李崇远(1990?),男,山东济宁人,硕士研究生,研 究方向为通信信号处理三蒋宇中(1963?),男,博士,教授三杨新友(1975?),男,高级工程师三 一一GMSK是由Murota和Hirade1979年首先提出的三GMSK作为连续相位调制CPM的一种特例,具有窄带宽和恒包络的良好特性,恒包络可在通信中抗干扰,窄带宽则可在带宽受限条件下发挥作用三GMSK已经成为新一代移动通信的标准调制方式三美国的CDPD通信系统和欧洲的GSM通信系统都采用该调制方式[1]三 但现行的GMSK调制暴露出两个主要问题:1)由 窄带宽所带来的码间串扰对接收机的设计提出了更高的要求;2)缺少多进制GMSK调制方式,因此无法通过预编码来提高通信质量,获得编码增益三如果采用多进制GMSK调制方式,我们在现行的BGMSK(即二进制GMSK)的调制体制基础上做某些改进 [2] ,设法减小 码间串扰的同时,利用一个多进制码元传输多比特信息来进行预编码处理,从而能在带宽二实现的复杂度和 发送功率之间折中二平衡,达到我们所需要的误码率指标,但多进制GMSK的相关研究较少,需要我们自己建立模型和展开调制解调的研究三 1一多进制GMSK信号产生 1 1一基础理论 多进制GMSK信号表达式[2]如下: s(t)=2EsT cos(2πfct+φ(t,α? )) (1) 式中, 2EsT 是信号幅度,fc是载波频率,φ(t, α? )为 φ(t,α? )=2πheni=-? αiq(t-iT),nT<t<(n+1)T(2) 对于MGMSK调制来讲,调制指数h= 1 M ,M=2m,m为正整数三αi是待发送的码元{?1,?3, ,?(M-1)}三q(t)为归一化相位响应函数,它一般可表示成 某个脉冲g(t)的积分形式: 江苏省地质测绘院印刷厂一\DZ06a\f\指挥控制与仿真\15指挥控制与仿真5期一5校样一排版:张一芸一时间一2015/09/29

多进制数字调制3

2、四相绝对移相键控(QPSK)系统 a)QPSK信号的产生 QPSK信号利用载波的四种不同相位来表示数字信息。由于每一种载波相位代表两比特信息,因此每个四进制码元称为双比特码元。两个二进制码元中的前一比特用a 表示,后一比特用 b 表示,采用体系,则双比特ab 与载波相位的关系如右表。 在2PSK信号相干解调过程中会产生180?相位模糊。同样,对QPSK信号相干解调也会产生相位模糊问题,并且是0?, 90?,180?和270?四个相位模糊。故在实际中更实用的是四相相对移相调制,即QDPSK方式。 3、四相相对移相键控(QDPSK)系统 四相相对移相键控(QDPSK)信号是利用前后码元之间载波四种不同的相对相位变化来表示数字信息。若以前一双比特码元相位作为参考,??n为当前双比特码元与前一双比特码元初相差,则信息编码与载波相位变化关系如右表(π/2体系) 五、正交振幅调制(QAM) 在系统带宽一定的条件下,多进制调制的信息传输速率比二进制高,也就是说,多进制调制系统的频带利用率高。但是,多进制调制系统频带利用率的提高是通过牺牲功率利用率来换取的。因为随着M 值的增加,在信号空间中各信号点的最小距离减小,相应的信号判决区域也随之减小。因此,当信号受到噪声和干扰的损害时,接收信号的错误概率也将随之增大。 振幅相位联合键控(APK)或正交振幅调制(QAM)就是为克服上述问题而提出来的。在M 较大时,可以获得较好的功率利用率,同时,其设备组成也比较简单。因此,它是目前研究和应用较多的一种调制方式。 正交振幅调制(QAM)是用两个独立的基带数字信号对两个相互正交的同

频载波进行抑制载波的双边带调制,利用这种已调信号在同一带宽内频谱正交的性质来实现两路并行的数字信息传输。 输入的二进制序列经过串/并变换器输出速率减半的两路并行序列,再分别经过 2 电平到L 电平的变换,形成L 电平的基带信号。为了抑制已调信号的带外辐射,该L 电平的基带信号还要经过预调制低通滤波器,形成X(t)和Y(t),再分别对同相载波和正交载波相乘。最后将两路信号相加即可得到QAM 信号。 正交振幅调制(QAM)的原理 五、总结 六、布置作业: 课后习题

多进制数字相位调制(MPSK)系统.doc

多进制数字相位调制(MPSK)系统 多相移键控(MPSK -多相移键控)也被称为多相位系统,它是二相系统的推广。它是利用不同载波的相位状态来表征数字信息的调制。与二进制数字相位调制相似,它有绝对相位调制(MPSK)和相位调制(MDPSK)两种调制方式。本文以4PSK为例,主要介绍基于Xilinx ISE 仿真软件的多相移键控系统(MPSK)的设计。调制方法是简单的相位选择方法。它只专注于数字系统的设计,而忽略了模拟电路系统。关键词:多相移键控MPSK西林ISE选相方法摘要多进制数字相位调制(MPSK -多相移键控)又称多相制,是二相制的推广。它是利用载波的多种不同相位状态来表征数字信息的调制方式。与二进制数字相位调制相同,多进制数字相位调制也有绝对相位调制(MPSK)和相对相位调制(MDPSK)两种。本文主要研究基于Xilinx ISE仿真软件设计的多进制数字相位调制(MPSK)系统,以4PSK系统为例。调制方法采用简便的相位选择法,且略去模拟电路系统部分,仅对数字系统进行设计。关键字: 多进制数字相位调制MPSK锡林郭勒ISE相位选择法武汉理工大学《FPGA课程设计》说明书目录摘要1摘要11 多进制数字相位调制11.1 MPSK概念11.2 MPSK原理12 四相相位调制(4PSK) 22.1 4PSK调制22.1.1相位选择法22.1.2直接调相法32.2 4PSK解调42.3 4PSK调制与解调系统设计53 ISE设计与仿真73.1 ISE操作环境73.1.1输入(设计条目)73.1.2综合(综合83.1.3)实现(实施83.1.4)验证(验证83.1.5)下载(下载)93.2 ISE程序设计93.2.1调制系统程

常用多进制数字调制技术基础

常用多进制数字调制技术基础 1 常用多进制数字调制技术及应用 1.1 QPSK(四相相移键控)技术及应用 (1)QPSK技术 在相移键控(PSK)技术中,通过改变载波信号的相位来表示二进制数0、1,而相位改变的同时,最大振幅和频率则保持不变。例如,可以用两种不同相位的正弦信号分别表示0和1,用0°相位表示0,用180°相位表示1,这种PSK技术称为二相位PSK或2-PSK,信号之间的相位差为180°。 同样,可以用4种不同相位的正弦信号分别表示00、01、10和11,例如,用0°相位表示00,用90°相位表示01,用180°相位表示10,用270°相位表示11。这样每种相位的正弦信号可以表示两位二进制信息,信号之间的相位差为90°,这种PSK技术称为四相位PSK或QPSK,由于4个相位与四进制的4个符号相对应,也称四进制PSK调制。因每种相位的正弦信号可以表示两位二进制信息,与2-PSK相比,其编码效率提高了1倍。 以此类推,当不同相位的载波数为8、16……时,分别称为8-PSK(八进制PSK)、16-PSK(十六进制PSK)……,理论上,不同相位差的载波越多,可以表征的数字输入信息越多,频带的压缩能力越强,可以减小由于信道特性引起的码间串扰的影响,从而提高数字通信的有效性。但在多相调制时,相位取值数增大,信号之间的相位差也就减小,传输的可靠性将随之降低,因而实际中用得较多的是四相制(4-PSK)和八相制(8-PSK)。 (2)QPSK的应用 QPS K广泛应用于数字微波通信系统、数字卫星通信系统、宽带接入与移动通信及有线电视的上行传输。在卫星数字电视传输中普遍采用的QPSK调谐器可以说是当今卫星数字电视传输中对卫星功率、传输效率、抗干扰性以及天线尺寸等多种因素综合考虑的最佳选择。欧洲与日本的数字电视首先考虑的是卫星信道,采用QPSK调制,我国也出现了采用QPSK调制解调的卫星广播和数字电视机。 要实现卫星电视的数字化,必须在卫视传输中采用高效的调制器和先进的压缩技术,因为我国现行的PAL制彩色电视是采用625行/50场,其视频带宽5 MHz,根据4∶2∶2的标准,625行/50场的亮度信号(Y)的取样频率为13.5 MHz,每个色差信号(R-Y)和(B-Y)的取样频率均为6.75 MHz。当Y,(R-Y),(B-Y)信号的每个取样为8 bit量化时,电视信号经数字化后的亮度信号码率为13.5×8=108 Mbps,色度信号的码率为6.75×8×2=108 Mbps,总码率为色亮码率之和,即216 Mbps,在现有的传输媒介中要传送这样宽带的数字电视信号是不可能的。

4ASK载波调制信号的调制解调与性能分析解析

计算机与通信学院 2013年春季学期 通信系统仿真训练课程设计 题目:4ASK载波调制信号的调制解调与性能分析专业班级:通信工程四班 姓名: 学号: 指导教师: 成绩:

本次课程设计四进制振幅键控(4ASK)载波调制信号的调制解调与性能分析。通过对二进制数字信源进行四进制振幅键控(4ASK)数字调制,并画出信号波形及功率谱,分析其性能。课程设计是在MATLAB上完成软件的设计与仿真的,运用MATLAB 语言实现了数字基带信号的4ASK调制的模拟,并得到二进制基带信号和相应得四进制基带信号以及4ASK调制信号的波形显示,给出了整体调制和解调的模块图和仿真波形,通过调试代码,观察2ASK与4ASK 的不同,最后根据二进制振幅键控的原理来设计四进制振幅键控的调制与解调两个过程,从而对其性能进行进一步的分析总结。 关键字:4ASK 相干解调基带信号

一、设计概要 (1) 二、 MATLAB/SIMULINK简介 (2) 三、通信技术的历史和发展 (4) 3.1通信的概念 (4) 3.2 通信的发展史简介 (5) 3.3通信技术的发展现状和趋势 (5) 四、设计原理 (7) 4.1 4ASK信号的原理 (7) 4.2 4ASK调制解调原理 (8) 五、设计步骤 (11) 5.1载波信号的调制 (11) 5.2调制信号的解调 (11) 5.3调试分析 (11) 5.4开发工具和编程语言 (12) 5.5测试结果及图形说明 (13) 总结 (15) 参考文献 (16) 致谢 (17)

一、设计概要 本次课设主要通过研究4ASK信号的调制解调,首先通过对二进制2ASK的分析来研究出四进制4ASK的变化,对2ASK的基带信号和传输的载波信号,以及其波形图进行分析,从而掌握多进制的振幅键控(MASK)调制解调的原理及其实现方法,然后利用MATLAB7.0仿真实现4ASK的调制与解调,并仿真4ASK载波信号在高斯白噪声下的误码率和误比特率的性能,同时给出调制信号、载波信号及已调信号的波形图和频谱图。最后根据仿真的波形图来分析4ASK的性能特点,以及对以后信道的传输有更重要的意义和频带利用率,资源有效充分利用,全方面的来考虑4ASK的用途。

多进制数字相位调制(MPSK)系统

Abstract Multiple Phase Shift Keying (MPSK - multiple phase shift keying) is also called multi-phase system, which is the promotion of the two-phase system. It is the modulation to characterize digital information using the different carrier’s phase state. Similar with the Binary Digital Phase Modulation, it has the absolute phase modulation (MPSK) and phase modulation (MDPSK) as the two kinds of modulation methods. This article is mainly about the Multiple Phase Shift Keying system (MPSK) based on Xilinx ISE simulation software design, setting 4PSK as an example. The modulation method is the simple phase-selection method. It only concentrates on the design of digital system, neglecting the analog circuit system. Keywords: Multiple Phase Shift Keying MPSK Xilinx ISE phase-selection method

SystemView16进制正交振幅调制(16QAM)

例十:16进制正交振幅调制(16QAM ) 一、实验原理 在系统带宽一定的条件下,多进制调制的信息传输速率比二进制高。也就是说,多进制调制系统的频带利用率高。但是,多进制调制系统频带利用率的提高是通过牺牲功率利用率来换取的。因为随着M 值的增加,在信号空间中各信号点间的最小距离减小,相应的信号判决区域也随之减小。因此,当信号受到噪声和干扰的损害时,接收信号错误概率也将随之增大。振幅相位联合键控(APK )方式就是为了克服上述问题而提出来的。在这种调制方式下,当M 值较大时,可以获得较好的功率利用率。 16进制的正交振幅调制(16QAM ),就是一种振幅相位联合键控信号。所谓的正交调制(QAM )就是用两个独立的基带波形对两个相互正交的同频载波进行抑制载波的双边带调制,利用这种已调信号在同一带宽内频谱的正交性来实现两路并行的数字信息的传输。 16QAM 系统方框图为: 1.调制部分 16QAM 的产生有两种方法: (1)正交调幅法:它是用两路正交的四电平振幅键控信号叠加而成。 (2)复合相移法:它是用两路独立的四相移相键控信号叠加而成。 本实验采用正交调幅法。实验中省略了串并变换和并串变换部分,而用两路独立的四电平基带信号代替。 × 载波 提取 × t c ωcos t c ωsin 串/并 转 换 2-4 电平转换 2-4 电平转换 二进制 输 入 × Σ × 低通 低通 并/串 转 换 二进制 输 出 图2.10.1 16QAM 调制解调系统组成 图2.10.2 16QAM 系统仿真电路

参数设置 Token0、1:信号发生器—PN码序列(Amplitude=1,Rate=50Hz,No.Levels=4) Token6、10:信号发生器—正弦载波(Amplitude=1,frequency=1000Hz,phase=0)Token9:高斯噪声发生器 Token13、14:模拟低通滤波器(截止频率=225Hz) 1.运行时间的设置 运行时间=1.5秒采样频率:10000赫兹 2.运行系统 在System View系统窗内运行电路,观察各信号接收器的波形。 在Token2处观察到的一路四元基带信号波形为: 16QAM调制波形 对应Token2的解调波形

多进制数字相位调制系统课程设计

多进制数字相位调制系统课程设计

石家庄经济学院 通信实习报告 院系:信息工程学院学号: 姓名: 日期:2013.1.15

一、实习目的 1、通过本次专业课程设计巩固并扩展通信课程的基本概念、基本理论、分 析方法和仿真实现方法。 2、结合所学的MATLAB和EDA等软件仿真技术,完成通信专业相关课程内容的 建模和设计仿真。到达通信专业相关理论课程有效的巩固和整合,实现将理论知识和软件设计紧密结合。 3、通过本次专业课程设计达到培养学生的创新能力、通信系统建模和仿真设计 能力以及软件调试和分析能力的目的。 二、实习要求 1、应用通信类软件完成通信系统相关内容的设计和建模,并仿真出正确结果, 对仿真波形加以重点分析和说明。 2、按要求格式书写报告,原理充分、设计方法及仿真结果分析正确、条理清晰、 重点突出。 三、实习内容 (1)实习题目 多进制数字相位调制系统设计 (2)设计原理 一、多进制数字相位调制(MPSK) 多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。如果载波有2k个相位,它可以代表 k位二进制码元的不同码组。多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移键控。 在MPSK信号中,载波相位可取M个可能值, 因此,MPSK信号可表示为 假定载波频率是基带数字信号速率的整数倍,则上式可改写为

上式表明,MPSK信号可等效为两个正交载波进行多电平双边带调幅所得已调波之和。因此其带宽与MASK信号带宽相同,带宽的产生也可按类似于产生双边带正交调制信号的方式实现。下面以四相相位调制为例进行讨论。四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。所以,对于输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。这种由两个码元构成一种状态的符号码元称为双比特码元。同理,k位二进制码构成一种状态符号的码元则称为k比特码元。 二、4PSK信号 四相PSK(4PSK)信号实际是两路正交双边带信号。 串行输入的二进制码,两位分成一组。若前一位用A表示,后一位用B表示,经串/并变换后变成宽度加倍的并行码(A、B码元在时间上是对齐的)。再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输出形成4PSK信号。显然,此系统产生的是π/4系统PSK信号。 如果产生π/2系统的PSK信号,只需把载波移相π/4后再加到乘法器上即可。

正交调制解调

多进制正交振幅调制技术及其在衰落信道下实现 1.背景: 在数字通信中.调制解调方式有三种基本方式:振幅键控、频移键控和相位键控。但单纯的这三种基本方式在实际应用中都存在频谱利用率低、系统容量少等不足。而在现代通信系统中,通信用户数量不仅在不断增加,人们亦不满足传统通信系统的单一语音服务,希望进行图像、数据等多媒体信息的通信。因此,传统通信调制解调方式的容量已经越来越不能满足现代通信的要求。近年来,如何在有限的频率资源中提供高容量、高速率和高质量的多媒体综合业务,是数字通信调制解调领域中一个令人关注的课题。 通过近十多年来的研究,分别针对无线通信信道和有线通信信道的特征,提出了不同的高频谱利用率和高质量的调制解调方案。其中的QAM调制解调方案为:发送数据在比特/符号编码器内被分成速率各为原来1/2的两路信号,分别与一对正交调制分量相乘,求和后输出。接收端完成相反过程,解调出两个正交码流.均衡器补偿由信道引起的失真,判决器识别复数信号并映射回二进制信号。不过.采用QAM调制技术,信道带宽至少要等于码元速率,为了码元同步,还需要另外的带宽,一般要增加15%左右。 2.QAM基本原理: 在QAM(正交幅度调制)中,数据信号由相互正交的两个载波的幅度变化表示。模拟信号的相位调制和数字信号的PSK(相移键控)可以被认为是幅度不变、仅有相位变化的特殊的正交幅度调制。因此,模拟信号相位调制和数字信号的PSK(相移键控)也可以被认为是QAM的特例,因为其本质上就是相位调制。 QAM是一种矢量调制,将输入比特先映射(一般采用格雷码)到一个复平面(星座)上,形成复数调制符号,然后将符号的I、Q分量(对应复平面的实部和虚部,也就是水平和垂直方向)采用幅度调制,分别对应调制在相互正交(时域正交)的两个载波(coswt和sinwt)上。这样与幅度调制(AM)相比,其频谱利用率将提高1倍。QAM是幅度、相位联合调制的技术,它同时利用了载波的幅度和相位来传递信息比特,因此在最小距离相同的条件下可实现更高的频带利用率,QAM最高已达到1024-QAM(1024个样点)。样点数目越多,其传输效率越高,例如具有16个样点的16-QAM信号,每个样点表示一种矢量状态,16-QAM有16态,每4位二进制数规定了16态中的一态,16-QAM中规定了16种载波和相位的组合,16-QAM 的每个符号和周期传送4比特。 QAM调制器的原理是发送数据在比特/符号编码器(也就是串–并转换器)内被分成两

数字调制系统的性能比较

衡量一个数字通信系统性能优劣的最为主要的指标是有效性和可靠性,下 面主要针对二进制频移键控(2FSK)、二进制相移键控(BPSK)、二进制差分相移 键控(DBPSK)以及四进制差分相移键控(DQPSK)数字调制系统,分别从误码 率、频带利用率、对信道的适应能力以及设备的可实现性大小几个方面讨论。 1. 误码率 通信系统的抗噪声性能是指系统克服加性噪声影响的能力。在数字通信系 统中,信道噪声有可能使传输码元产生错误,错误程度通常用误码率来衡量。 在信道高斯白噪声的干扰下,各种二进制数字调制系统的误码率取决于解 调器输入信噪比,而误码率表达式的形式则取决于解调方式:相干解调时为互erfc r k形式(k只取决于调制方式),非相干解调时为指数函数形补误差函数(/) 式。 图1和图2是在下列前提条件下得到: ①二进制数字信号“1”和“0”是独立且等概率出现的; ②信道加性噪声n(t)是零均值高斯白噪声,单边功率谱密度为0n,信道参 恒定; ③通过接受滤波器后的噪声为窄带高斯噪声,其均值为零,方差为2n ; ④由接收滤波器引起的码间串扰很小,忽略不计; ⑤接收端产生的相干载波的相位差为0。 调制方式 相干解调非相干解调 P e 解调方式

图1 各种数字调制系统误码率 2ASK 1 (/4)2erfc r /4 12r e - 2FSK 1 (/2)2erfc r /2 12r e - BPSK 1 ()2erfc r — DBPSK ()erfc r 12r e - DQPSK (2sin ) 2erfc r M π —

图2 二进制数字调制系统的误码率曲线 图3a MDPSK 信号误码率曲线 图3b MPSK 信号的误码率曲线 (1) 通过图1从横向来看并结合图2得到: 对同一调制方式,采用相干解调方式的误码率低于采用非相干解调方式的误码率,相干解调方式的抗噪声性能优于非相干解调方式。但是,随着信噪比r 的增大,相干与非相干误码性能的相对差别越不明显,误码率曲线有所靠拢。 (2) 通过图1从纵向来看: ①若采用相干解调,在误码率相同的情况下,2224ASK FSK BPSK r r r ==,转化 成分贝表示为 22()3()6()ASK FSK BPSK r dB dB r dB dB r dB =+=+,即所需要的信噪比的 要求为:BPSK 比2FSK 小3dB ,2FSK 比2ASK 小3dB ;BPSK 和DBPSK 相比,信噪比r 一定时,若 () e BPSK P 很小,则 ()()/2 e DBPSK e BPSK P P ≈,若 () e BPSK P 很大,则有 ()()/1 e DBPSK e BPSK P P ≈,意味着 () e DBPSK P 总是大于 () e BPSK P ,误码率增加,增加的系 数在1~2之间变化,说明DBPSK 系统抗加性白噪音性能比BPSK 的要差;总

多进制频率调制解调系统的设计毕业设计

多进制频率调制解调系统的设计 【摘要】MFSK ---多进制数字频率调制,简称多频制,是2FSK方式的推广。它是用不同的载波频率代表各种数字信息。在数字通信系统中,数字调制与解调技术占有非常重要的地位。随着FPGA 技术的发展,数字通信技术与 FPGA的结合体现了现代数字通信系统发展的一个趋势。文中介绍了MFSK 调制解调的原理, 并基于 VHDL 实现了MFSK 调制解调电路设计,仿真结果表明设计方案是可行的。整个系统的功能在EDA技术开发平台均调试通过,并在MAX7000S系列FPGA上硬件实现,具有较高的实用性和可靠性。 【关键词】MFSK;VHDL;调制;解调

Design and Simulation of MFSK Modulation Circuit Based on VHDL XX (Grade 03,Class 1,Major electronics and information engineering ,Electronics and information engineering Dept.,XX University of technology XXXX,XX) Tutor: XX 【Abstract】MFSK --- Multi-band digital frequency modulation, referred to as multi-frequency system is the way 2FSK promotion. It is representative of a different variety of digital information carrier frequency. In digital communication system, the digital modulation and demodulation plays an important role with the development of FPGA technology, the combination of digital communication technology with FPGA is an inevitable trend. This paper gives the principle of MFSK modulation and demodulation. Based on VHDL, the design of MFSK modulation circuit is realized. The simulation result indicates that this scheme is feasible. 【Key words】MFSK;VHDL; modulation; demodulation

多进制数字调制2

导入新课: 随着数字通信的发展,人们对频带利用率的要求不断提高,多进制数字调制作为一种解决方案获得了广泛应用。 讲授新课: 课题二 多进制数字调制 一、多进制数字调制系统 由于二进制数字调制系统频带利用率较低,使其在实际应用中受到一些限制。在信道频带受限时 为了提高频带利用率,通常采用多进制数字调制系统。所谓多进制数字调制系统就是用多进制的基带信号去调制载波的幅度、频率或相位。相应地有多进制振幅调制、多进制频率调制和多进制相位调制。 与二进制数字调制系统相比具有如下特点: 1)在相同的码元速率RB 下,多进制数字调制系统的信息速率比二进制高; )/( log 2s bit M R R B b 2)在相同的信息速率下, 多进制码元速率比二进制系统的低,增大码元宽度,可以增加码元的能量,并能减小码间干扰的影响。 二、多进制数字振幅调制系统 1、多进制数字振幅调制(MASK)的原理 多进制数字振幅调制又称多电平调制,它是二进制数字振幅键控方式的推广。M 进制数字振幅调制信号的载波幅度有M 种取值,在每个符号时间间隔Ts 内发送M 个幅度中的一种幅度的载波信号。 四进制数字振幅调制信号的时间波形 M 进制数字振幅调制可以看成是M 个不同振幅的2ASK 信号的叠加。 b) 多进制数字振幅调制信号的功率谱密度 M 进制数字振幅调制可以看成是M 个不同振幅的2ASK 信号的叠加。 M

进制数字振幅调制信号的功率谱密度是这M 个不同振幅的2ASK 信号功率谱密度之和。尽管叠加后频谱结构很复杂,但其带宽与2ASK 信号的相同。 多进制数字振幅调制信号的带宽:基带22B f B s MASK == c) MASK 信号的产生及解调 MASK 信号的产生方法与2ASK 类似,差别在于基带信号为M 电平。 将二进制信息n 位(n=log2M )分为一组,然后变换为M 电平,再送入幅度调制器。除了可以采用双边带调制外,也可以用多电平残留边带调制或单边带调制等。基带信号的波形最简单的为矩形脉冲,为了限制信号频谱也可用其他波形如升余弦滚降波形,或部分响应波形等。 MASK 信号的解调可以采用非相干解调即包络检波,或相干检测。 三、多进制数字频率调制系统 1、多进制数字频率调制的基本原理 多进制数字频率调制(MFSK)简称多频调制,它是2FSK 方式的推广。 时域表达式:( )()t t s t e i i MFSK ωcos = ()???<<<<=”时发送的符号不为“0,在时间间隔0”时发送的符号为“0在时间间隔 ,i T t i T t A t s s s i ωi 为载波角频率,共有 M 种取值。通常可选载波频率 fi=n/2T ,n 为正整数,此时M 种发送信号相互正交。 2、多进制数字频率调制的基本原理

fpga多进制数字相位调制(MPSK)

课程设计 题目多进制数字相位调(MPSK)学院信息工程学院 专业通信工程 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多进制数字相位调制(MPSK) 初始条件: (1) Quartus II 9.1软件 (2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》 (3)先修课程:数字电子技术、模拟电子技术、通信原理 要求完成的主要任务: (1)掌握多进制数字相位调制(MPSK)解调原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成用FPGA对多进制数字相位调制(MPSK)解调设计仿真,并对仿真结果进行分析。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要................................................................ I Abstract .......................................................... I I 1. 绪论 (1) 2. 基本原理及数学模型 (2) 2.1 MPSK的调制原理 (2) 2.2 4PSK信号 (3) 3. 仿真及结果分析 (6) 3.1 MPSK调制电路VHDL程序及仿真 (6) 3.1.1 MPSK调制方框图 (6) 3.1.2 MPSK调制电路符号 (7) 3.1.3 MPSK调制程序注释 (7) 3.1.4 MPSK调制程序仿真及注释 (8) 3.1.5 MPSK调制程序RTL图 (9) 3.2 MPSK解调电路VHDL程序及仿真 (10) 3.2.1 MPSK解调方框图 (10) 3.2.2 MPSK解调电路符号 (11) 3.2.3 MPSK解调程序及注释 (11) 3.2.4 MPSK解调程序仿真及注释 (12) 3.2.5 MPSK解调程序RTL图 (13) 4.设计及实现过程中遇到的问题 (14) 5. 结论 (14) 6.参考文献 (15) 附录一:MPSK调制VHDL程序 (16) 附录二:MPSK解调VHDL程序 (17)

相关文档
最新文档