篮球比赛电子记分牌

篮球比赛电子记分牌
篮球比赛电子记分牌

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球计分器程序

#include #define uint unsigned int #define uchar unsigned char uchar code table[]=".. Play ball! ...."; uchar code table1[]="Welcome to here!" ; sbit key_ST=P1^5; //功能键(开始/暂停) sbit key_A1=P1^0; //功能键(A队分数加1) sbit key_A2=P1^3; //功能键(A队分数加2) sbit key_1A=P1^6; //功能键(A队分数减1) sbit key_B1=P1^1; //功能键(B队分数加1) sbit key_B2=P1^4; //功能键(B队分数加2) sbit key_1B=P1^7; //功能键(B队分数减1) sbit key_EX=P1^2; //功能键(交换场地,A/B两队分数交换) sbit key_JS=P3^0; //功能键(比赛节数加1) sbit FMQ=P3^3; //蜂鸣器 sbit lcdrs=P3^5; //LCD显示的数据/命令选择端 sbit lcden=P3^4; //LCD显示的使能信号 uchar flag,ms,mg,ss,sg,ags,agg,bgs,bgg,num,num1,num2,js=1,C,D,t,min=0,time_fmq; int sec=12; void delayms(uint xms) //定义延时函数 { uint i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--); } void write_data(uchar date) //定义为数据模式{ lcdrs=1; P0=date; delayms(5); lcden=1; delayms(5); lcden=0; } void write_com(uchar com) //定义为命令模式 { lcdrs=0; P0=com;

篮球数字记分牌

数字电子技术课程设计篮球比赛数字记分牌 院系:机电工程 专业:电子信息工程 年级(班级):2013级(2)班 姓名:叶庆缘 学号: 20134082035 指导教师:陈丽华 完成日期: 2015 年 3 月 21日 成绩:

目录 1 引言 (1) 2 设计原理与方案 (1) 2.1 设计任务与要求 (1) 2.2 设计方案 (1) 3 电路设计与调试 (2) 3.1 模块设计 (2) 3.1.1 计数\编码电路 (2) 3.1.2 译码显示电路 (2) 3.1.3 开关控制输入电路 (2) 3.2 单元电路的设计 (3) 3.2.1 计数\编码电路的设计 (3) 3.2.2 译码\驱动\显示电路设计 (4) 3.2.3 信号输入控制电路设计 (6) 4 结论 (6) 5 设计心得体会 (6) 参考文献 (7) 附录A 整体电路图 (8) 附录B 元件清单 (9) 附录C 实物图 (10)

篮球比赛数字记分牌 1 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力. 2 设计原理与方案 2.1 设计任务与要求 2.1.1设计篮球比赛数字计分牌,以达到以下要求: 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 2.2 设计方案 1、篮球比赛数字计分牌要求能分别记录两队的得分的情况,可清零重新开始比赛,所以设置一开关用来复位。 2、计分牌由百、十、个位组成,用LED数码管(共阴)显示,配用相应译码器CD4511。 3、分数的个位与十位计数用十进制加/减计数器(CD40192)来实现。百位由JK触发器组成只呈现1或不显示状态,即达到100分前只有个位与十位显示,计分系统最多只有199分。

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

篮球记分牌方案

记分牌方案设计 前言: 鉴于院里的篮球赛没有计分牌,决定做一个篮球计分牌使院篮球赛更顺利的进行。本次设计的篮球计时计分器,电路简单,而且易懂,使操作使用者使用非常方便,成本较低,灵敏可靠,计录准确,连接简单,具有非常高的实用价值。 设计任务要求: 本设计以基本篮球规则为依据,可对篮球比赛实现实时控制。设计主要为记分器部分,记分器记录两队的积累分数。两位数码管显示比分,可加可减。针对篮球比赛中有得1、2、3分,有时还会出现加分错误的情况,本人设计的简易篮球赛计分器主要通过按键实现以下功能:能够进行加1、2、3分;能够进行减1分,并通过2个数码管进行显示。 功能分析: 根据前面的设计的任务的要求,本设计任务主要具有以下几个模块:单片机模块;按键模块;数码显示模块。本设计由单片机模块部分植入主程序,通过按键部分进行加1、2、3分以及减1分操作,最终在2个LED数码管上显示结果。本系统采用单片机98S51作为本设计的核心元件。利用七段共阴极LED数码管作为显示器件。在此设计中共接入4个七段共阴极LED显示器,用于计录甲、乙两队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程要求。其次,为了配合计时器和计分器校正、调整时间和比分,我们在设计中设立了个按键。用于改变甲、乙两队的分数. 关键词:单片机,计分, 报警,显示 材料: 系统硬件主要是由单片机89S51、计分显示电路和按键开关三个部分组成。 本系统在设计过程中主要选取了以下一些器件: 单片机: 89S51。 显示器件: 七段共阴LED显示器。 按键: 独立式按键。 其中1D~7D为信号输入,其中1Q~7Q为信号输出COM为公共端,GND为接地端。显示部分: 本设计显示部分采用的是7段共阳LED数码管显示,LED就是发光二极管,相对LET来说它的优势在于节能环保,保护视力,使用寿命长等优点。数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。我们分别把他命名为A,B,C,D,E,F,G,H,如图3所示。

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

EDA篮球比赛电子记分牌设计

长沙学院课程设计说明书 题目篮球比赛电子记分牌设计

目录 一、课题名称?错误!未定义书签。 二、设计内容?错误!未定义书签。 三、设计思路?错误!未定义书签。 1、整体设计思路: ............................................................................................ 错误!未定义书签。 2、整体设计流程:?错误!未定义书签。 四、设计说明 (2) 1、设计程序?3 2、?引脚分配设置: ....................................................................................... 错误!未定义书签。 3、?功能描述 ................................................................................................... 错误!未定义书签。 五、设计心得....................................................................................................... 错误!未定义书签。 六、参考文献?错误!未定义书签。

一、课题名称 篮球比赛电子记分牌设计 二、设计内容 设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A方得分;2位数码管显示B方得分;使用LED灯作为上、下半场的标志;单次加分值包括1分、2分和3分;半场时间到输出3秒的声光提示;可进行比赛暂停、比赛加时等操作;终场时间到输出5秒的声光提示。 三、设计思路 1、整体设计思路: 根据设计内容,可将设计将分为五个模块来设计:分频计数模块、时间模块、加分模块、动态扫描显示模块、LED灯模块。 2、整体设计流程: (1)、分频计数模块:通过分频模块,将试验箱自带的50MHZ的频率分频得到1MZ 以及1HZ的频率 (2)、时间模块:将计时器的分钟、秒钟,通过借位的方式设计成40分钟的倒计时时 钟 (3)、加分模块:通过拨盘开关的选择,输入A、B队的比赛得分 (4)、动态扫面显示模块:通过1MHZ的频率,将时间以及?A、B队的比赛得分同时扫 描显示在数码管上 (5)、LED灯模块:对上下场的显示,以及比赛结束的灯亮延长显示 四、设计说明

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

简单篮球比赛计分器设计

目录 引言............................................................................................................................ I 1 设计意义与要求 (1) 1.1 设计意义 (1) 1.2 设计要求 (1) 2系统总体方案及硬件设计 (2) 2.1 AT89C51简介 (2) 2.2 数码管显示原理 (2) 2.3 系统总体方案 (3) 3 编程设计 (4) 3.1 定时/计数器 (4) 3.2 程序流程图 (4) 3.3 程序分析 (6) 4 Proteus软件仿真 (7) 4.1 Proteus仿真软件简介 (7) 4.2 仿真过程 (7) 5 调试分析 (10) 6 心得体会 (11) 致谢 (12) 参考文献 (13) 附录一程序清单 (14) 附录二电路原理图 (16)

篮球比赛计分器设计 引言 本系统是采用单片机AT89C51作为本设计的核心元件,利用7段共阳LED作为显示器件。在此设计中共接入了2个7段共阳LED显示器,用于记录该队的分数,2个LED 显示器显示范围可达到0~99分,基本满足赛程需要。当比赛队得1分时,按下S1键加1分,得2分时按S2键加2分,得3分时按下S3键加3分。如分数计错需减分时,每按一次S4键减1分。 采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:AT89C51 LED显示定时/计数器

篮球比赛数字记分牌

广西科技大学(筹) 课程设计说明书 课题名称篮球比赛数字记分牌 系别职业技术教育学院 专业电子信息工程 班级电子Z102班 学号孙思(201002203092) 池亮(201002203090) 李友军(201002203079)学生姓名孙思池亮李友军 指导教师廖贵成

摘要: 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。数字记分牌就是这样的一款电子产品,它的出现代替了记分员手动翻动记分牌积分的繁琐劳动,使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。 我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。基于篮球比赛的特点,我们选取了专门的设计方案,用vhdl设计数字电路,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 关键词:篮球比赛数字记分牌vhdl LED数码管

目录 一、前言 (4) 二、设计要求 (4) 三、设计内容 (4) 1、基本原理 (4) 2、功能描述 (5) 3.程序设计 (5) 3.1 vhdl源代码...................................................................................................... 5-8 3.2 vhdl源代码分析 .......................................................................................................... 8-9 4、仿真结果 ................................................................................................................................. 9-10 四、总结............................................................................................................................. 11-12 五、参考文献 (12)

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

篮球赛电子计时记分牌

摘要 篮球赛电子计时记分牌是根据篮球比赛特点设计的独立的信息采集、分配、评判和显示的系统,能实现比赛时间和分数的实时、准确、快捷处理和呈现。本设计原理简单,主要采用AT89C52单片机作为微控制器,集合矩阵键盘、数码管和LED指示灯,通过键盘控制记分牌的工作状态,通过数码管和LED指示灯来反馈信息。本设计在充分考虑了篮球比赛的实际情况基础上设计,具有友好的人机交互接口,并且可以防止人为误操作造成的不良后果,具有一定的实用性。 关键字:单片机;AT89C52;计时记分牌;篮球比赛

目录 1 智能仪器仪表的简介 (1) 1.1智能仪器仪表简介 (1) 2 系统设计简介 (2) 2.1 篮球赛电子计时记分牌简介 (2) 2.2 设计要求 (2) 2.3 设计方案论证 (2) 3.系统硬件设计 (3) 3.1单片机的选型 (3) 3.2 复位和振荡电路的设计 (3) 3.3键盘输入模块的设计 (4) 3.4 信息提示模块的设计 (4) 3.5 系统总体电路 (5) 3.6 系统所用元器件 (7) 4 设计语言及软件介绍 (8) 4.1 汇编语言介绍 (8) 4.2 wave6000软件介绍 (8) 5 系统软件设计 (9) 5.1 软件系统总体设计方案 (9) 5.2软件系统流程图 (9) 5.2.2按键检测子程序设计 (12) 5.2.3 数码管显示子程序设计 (12) 5.3 控制源程序 (13) 5.3.1汇编程序 (13) 5.4 调试及仿真 (24) 结论 (26) 参考文献 (27)

1 智能仪器仪表的简介 1.1智能仪器仪表简介 仪器仪表(英文:instrumentation)仪器仪表是用以检出、测量、观察、计算各种物理量、物质成分、物性参数等的器具或设备。真空检漏仪、压力表、测长仪、显微镜、乘法器等均属于仪器仪表。广义来说,仪器仪表也可具有自动控制、报警、信号传递和数据处理等功能,例如用于工业生产过程自动控制中的气动调节仪表,和电动调节仪表,以及集散型仪表控制系统也皆属于仪器仪表。

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

篮球计分器课程设计

郑州科技学院 《数字电子技术》课程设计 题目篮球比赛计分的设计 学生姓名 专业班级通信工程 学号 院(系) 指导教师 完成时间 2014年12月 5日

目录 1 课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 4 单元电路的设计 (2) 4.1 单元电路 (2) 4.2 电路的设计 (4) 5 硬件的制作与调试 (6) 6 总结 (7) 参考文献 (10) 附录1:总体电路原理图 (11) 附录2:元器件清单 (12) 附录3:实物图 (13)

1 课程设计的目的 (1)熟悉中规模集成可逆计数器,译码器和显示器的功能; (2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; (3)了解数字系统的实验及调试方法,以及一般故障的排除方法。 (4)了解555定时器的功能作用和分类。 2 课程设计的任务与要求 (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)显示器可清零。 3 设计方案与论证 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。 用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。3片七段共阴极数码管构成显示起用于显示分数。 设计方案有多种,这次找出两种方案如下: 方案1:数字逻辑电路控制方案 数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。 在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

————————————————————————————————作者:————————————————————————————————日期:

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生姓名 **** 学生学号 ************ 指导教师 **** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器姓名**** 学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 张毅刚.单片机原理及应用[M].北京:高等教育出版社,2004. [2] 刘润华,刘立山.模拟电子技术[J].山东:石油大学出版社,2003. [3] 周润景,张丽娜等.PROTEUS入门教程[M].北京:机械工业出版社,2007. [4] 尹仕.电工电子制作基础[M].武汉:华中科技大学出版社,2008. [5] 彭介华.电子技术课程设计指导[J].北京:高等教育出版社,1997. 完成期限 指导教师 专业负责人****** 201*年*月** 日

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

相关文档
最新文档