数字集成电路设计 第二章ppt

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电路课程设计报告

目录 一.课程设计题目 二.设计的任务和要求 三.设计与调试 四.系统总体设计方案及系统框图 五.设计思路 六.电路连接步骤 七.电路组装中发生的问题及解决方案 八.所选方案的总电路图 九.实验结果 十.心得体会

一、课程设计题目 交通灯控制系统设计 二、设计的任务和要求 1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求; 2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒 三、设计与调试 1、按照任务要求,设计电路,计算相关参数,选择电子元器件 2、根据所设计的电路和所选择的器件搭接安装电路 3、接步骤进行调试电路 4、排除故障,最终达到设计要求 四、系统总体设计方案及系统框图 方案一:芯片设计 (1)芯片功能及分配 交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。 2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。 3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。整个设计共由以上三部分组成。 2)各单元电路的设计: 1. 秒脉冲信号发生器

数字电路课程设计

课题名称:数字电子技术 所在院系:电气工程系 班级:093353班 学号:37 姓名:肖龙 指导老师:邓春丽、王文平 时间:2010(12.27-12.31)

数字电子课程设计任务书 教研室主任签字:年月日

目录 设计任务与要求 (3) 总体方案设计 (3) 部分电路工作原理 (3) 总体电路设计 (4) 实验器材清单 (7) 总结 (8) 参考文献 (8)

一、设计任务与要求 1、抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在进行抢答时,显示器上显示选手的编号,并保持到主持人将系统清除为止。 二、总体方案设计与论证 如图所示为总体方框图。其工作原理为: 1、接通电源后,主持人将开关拨到“清除”状态,抢答器处于静止状态,编号显示器和指示灯灭,等主持人将开关置“开始”位置后,抢答器处于等候状态,此时额可以进行抢答。 2、抢答器完成,优先判断抢答的组号,并将编号进行锁存,然后通过译码器将编号显示在七段数码管上,并且扬声器提示。 3、如果再次抢答必须由主持人操作“清除”和“开始”状态的开关,即需要主持人清零。 三、部分电路工作原理 1、开关阵列电路 该电路由多路开关所组成,供抢答着使用,每一抢答者与一个开关相对应(开关S0—S7的代号分别是0、1、2、3、4、5、6、7,即抢答着的组号,便于主持人看到显示器上的数字后,能准确宣布谁是优先抢答者)。开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。 2、触发锁存电路

数字电子技术课程设计

数字电子技术课程设计报告 指导老师:XXX 班级:XXX 学号:XXX 姓名:XXX 浙江理工大学本科课程设计任务书

1产品简介 红外线心率计就就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动就是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这就是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2 红外线心率计工作原理 2、1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 2、2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、与-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路就是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如图3 (b)所示。IC2、IC3、IC4都为LM741。

图5 信号放大、整形电路 因为传感器送来的信号幅度只有2~5毫伏,要放大到10V 左右才能作为计数器的输入脉冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形就是跟输入波形同相、且放大了的波形。放大后的波形就是一个交流信号。其中A 1、A 2的供电方式就是正负电源供电,电源为+12V 、-10V 。 A 1、A 2与周围元件组成二级放大电路,放大倍数A uf 为: 40006666R R R R A 6 8 34uf ≈?=?= 由于放大后的波形就是一个交流信号,而计数器需要的就是单方向的直流脉冲信号。所以经过V 3检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC 两阶滤波电路,滤波电路的作用就是滤除放大后的干扰信号。R 9、V 4组成传感器工作指示电路,当传感器接收到心跳信号时,V 4就会按心跳的强度而改变亮度,因此V 4正常工作时就是按心跳的频率闪烁。直流脉冲信号滤波后送入A 3的同相输入端,反相输入端接一个固定的电平,A 3就是作为一个电压比较器来工作的,就是单电源供电。当A 3的3脚电压高于2脚电压的时候,6脚输出高电平;当A 3的3脚电压低于2脚电压的时候,6脚输出低电平,所以A 3输出一个反应心跳频率的方波信号。 ⑷ 门控电路 555定时器就是一种将模拟电路与数字电路集成于一体的电子器件,用它可以构成单稳态触发器、多谐振荡器与施密特触发器等多种电路。555定时器在工业控制、定时、检测、报警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS 触发器FF 、比较器COMP 1、COMP 2与场效应管V1组成(参见图6(a))。当555内部的COMP 1反相输入 端(-)的输入信号V R 小于其同相输入端(+)的比较电压V CO (DD 3 2V V co =)时,COMP 1输出高电位,置触发器FF 为低电平,即Q=0;当COMP 2同相输入端(+)的输入信号S V 大于其反相输入端(-)的比较电压V CO /2(1/3V DD )时,COMP 2输出高电位,置触发器FF 为高电平,即Q=1。D R 就是直接复位端,0R D =,Q=0;MOS 管V 1就是单稳态等定时电路时,供定时电容C 对地放电作用。 注意:电压V CO 可以外部提供,故称外加控制电压,也可以使用内部分压器产生的电压,这时COMP 2的比较电压为V DD /3,不用时常接0、01μF 电容到地以防干扰。

数字电子技术课程设计指导书_广东工业大学

数字电子技术课程设计指导书 第二版 物理与光电工程学院 电工电子部 2009年4月1日拟制 2013年5月6日排版

目录 1数字电子技术课程设计的目的与意义 (1) 2数字电子技术课程设计的方法和步骤 (1) 2.1设计任务分析 (1) 2.2方案论证 (1) 2.3方案实现 (2) 2.3.1单元电路设计 (2) 2.3.2参数计算 (2) 2.3.3器件选择 (2) 2.3.4安装调试 (3) 3数字电子技术课程设计题目(A-E任选一题) (3) 3.1选题A:功能数字钟的电路设计 (4) 3.1.1设计目的 (4) 3.1.2设计任务与要求 (4) 3.1.3选做 (4) 3.1.4数字钟的基本原理及电路设计 (4) 3.1.5设计要点 (8) 3.2选题B:交通灯控制电路设计 (8) 3.2.1设计目的 (8) 3.2.2设计任务与要求 (8) 3.2.3交通灯控制电路基本原理及电路设计 (9) 3.2.4设计要点 (12) 3.3选题C:简易数字频率计电路设计 (12) 3.3.1设计目的 (12) 3.3.2设计任务与要求 (13) 3.3.3数字频率计基本原理及电路设计 (13) 3.3.4调试要点 (17) 3.4选题D:洗衣机控制电路设计 (18)

3.4.1设计目的 (18) 3.4.2设计任务与要求 (18) 3.4.3洗衣机控制电路原理及电路设计 (18) 3.4.4调试要点 (21) 3.5选题E:四人智力竞赛抢答器 (21) 3.5.1设计目的 (21) 3.5.2设计任务与要求 (21) 3.5.3四人智力竞赛抢答器电路原理及设计 (22) 3.5.4设计要点 (24) 附:课程设计的考核办法(2008-10) (26)

数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

(3)系统组成。 1.秒发生器:由555芯片和RC 组成的多谐振荡器,其555 上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 译码器 译码器 译码器 时计数器 分计数器 秒计数器 校时电路 振荡器 分频器 系统方框 图1

红绿灯数字电路课程设计

《数字逻辑电路设计》课程设计总结报告 题目:红绿灯控制器 指导老师: 设计人员: 学号: 班级: 日期:2013年5月

目录 一、设计任务书 二、设计框图及整机概述 三、各单元电路的设计方案及原理说明 四、调试过程及结果分析 五、设计、安装及调试中的体会 六、对本次课程设计的意见及建议 七、附录(包括:整机逻辑电路图及元器件清单)

一、设计任务书 一、题目:红绿灯控制器 二、设计要求设计一个红绿灯控制器设计应具有以下功能 (1)东西方向绿灯亮,南北方向红灯亮。. (2)东西方向黄灯亮,南北方向红灯亮。 (3)东西方向红灯亮,南北方向绿灯亮。 (4 ) 东西方向红灯亮,南北方向黄灯亮。 要求有时间显示(顺数、逆数皆可),时间自定。(大于15秒以上)可添加其他功能。 三、给定条件 1.只能采用实验室提供的中小规模电路进行设计。(不一定是实 验用过的) 十字路口交通示意图

二、设计框图及整机概述 1、设计框图 2、整机概述 上图是整个交通灯电路的设计框图。首先,脉冲发生电路是用来给计数芯片产生脉冲的, 以便计数芯片可以正常的工作。控制系统是整个电路的核心,它控制着红绿灯的亮灭、数码管的显示以及控制计数 时间,所以对于这个部分的设计是非常重要的。计数系统我们可以用计数芯片160或者161 来实现,采用置数或者置零的方法都可以让实现某一个时间段的计数。 译码系统是用来显示时间的, 它将计数系统所记的时间用数码管显示出来,以便我们可以更清楚的知道红绿灯亮 灭的时间。最后的红绿灯部分用红黄绿三种发光二极管将我们所设计的电路功能直观的表现出来。

数字电路课程设计——交通灯实验报告

一、课程设计题目 交通灯控制系统设计 二、设计的任务和要求 1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。 要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求; 2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒。 三、系统总体设计方案及系统框图 方案一:芯片设计 (1)芯片功能及分配 交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。 2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。 3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。整个设计共由以上三部分组成。 (2)设计原理: 1)总体方案如图:

2)各单元电路的设计: 1. 秒脉冲信号发生器 时钟信号产生电路主要由555定时器组成震荡器,产生稳定的脉冲信号,送到状态产生电路,状态产生电路根据需要产生秒脉冲,电路图如下图所示: 2.主控制电路

数字电路课程设计

一、设计报告书的要求: 1. 封面 2. 课程设计任务书(题目,设计要求,技术指标等) 3. 前言(发展现状、课程设计的意义、设计课题的作用等方面)。 3. 目录 4. 课题设计(⑴写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。 ⑵画出框图中的各部分电路,对各部分电路的工作原理应作出说明。 ⑶画出整个设计电路的原理电路图,并简要地说明电路的工作原理。 ⑷用protel画原理电路图。 (5)用Multisim或者Proteus画仿真图。 5. 总图。 6. 课题小结(设计的心得和调试的结果)。 7. 参考文献。 二、评分依据: ①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。 三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择) 1、基于DC4011水箱水位自动控制器的设计与实现 水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

数字电子课程设计汇总

课程设计报告书 所属课程名称《数字电子技术》 题目调频收音机的设计与制作分院 专业班级 学号 学生姓名 指导教师 20 13 年01 月05 日

课程设计(论文)任务书 专业机械设计制造及其自动化班级 2 姓名 一、课程设计(论文)题目调频收音机的设计与制作 二、课程设计(论文)工作:自2012 年12 月29 日起至2013 年01 月11 日止。 三、课程设计(论文)的内容要求: 这次课程设计的任务是完成调频收音机的设计与制作。通过这次亲自动手实践,可以让我们更进一步理解平时所学的基本理论和巩固基本技能,培养运用仪器仪表检测元器件的能力,还可以加强对电子工艺流程的理解及熟悉,更重要的是能提高我们的动手能力,例如:焊接、布局、安装、调试等都需要我们自己亲自动手。通过完成调频收音机的设计与制作,培养和锻炼学生的实践动手能力,让学生对电子技术知识有更进一步的了解。训练学生了解常用的集成电路,了解电子仪器的使用,提高学生的实践动手能力,更好的将理论与实践结合起来,培养电子专业的学习兴趣。 第 2 页共12 页

学生签名: 2013 年月日课程设计(论文)评阅意见 评阅人职称 20 年月日

目录 第1章课程设计任务书内容及要求 (2) 第2章设计正文 (5) 2.1.原理介绍(含电路图) (5) 2.2.元器件清单 (6) 2.3.设计制作过程 (10) 2.4.遇到的问题 (11) 参考文献……………………………………………………… 12 致谢

第2章设计正文 2.1原理介绍(含电路图) 由图可知道电路分6个模块:输入调谐电路变频电路中频放大电路检波和自动增益电路和功率放大电路。 2.2.元器件清单(含相应元器件识别方法)

数字电路课程设计(红绿灯)

数字电路课程设计(一) ——红绿灯设计方案总结报告 指导教师: 设计人员: 班级:电信081 一、日期:设计任务书 1、题目:红绿灯控制器 2、设计要求:设计一个红绿灯控制器设计应具有以下功能 基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能 (1)东西方向绿灯亮,南北方向红灯亮。. (2)东西方向黄灯亮,南北方向红灯亮。 (3)东西方向红灯亮,南北方向绿灯亮。 (4 ) 东西方向红灯亮,南北方向黄灯亮。 要求有时间显示(顺数、逆数皆可),时间自定。(大于15秒以上) 二、设计框图及整机概述 本课程设计在继承了原有的红绿灯的基本功能的基础上,有对其功能进行了很大的完善,其中主要包括: (1)可以对大小路口的绿灯及黄灯任意置数。 (2)在倒计时3~0秒期间,当时正在点亮的绿灯或黄灯会进行闪烁。 正是由于拥有了这两个功能,使得电路稍显复杂,现在将分别讲解其设计思路。 本电路大体上可以分为四个部分,即:赋值电路部分、控灯闪烁部分、控制部分和核心计数部分。 其中,赋值电路部分占据了大量的空间和芯片,其主要原理是数据选择。控灯闪烁部分的芯片较少,也没有占用太多空间,控制部分分布在系统的各个部分,可以说是系统的灵魂,它对整个系统进行着控制。核心计数部分比较简单,主要是进行计数并且产生进位信号。 三、各单元电路的设计方案及原理说明

(一)赋值电路部分 赋值电路的设计是整个电路设计最复杂的一个环节,其主要问题主要集中在(1)对于一个计数器的数据输入端,如何使之在不同时刻数据不同,即:如果假设绿灯为30秒,黄灯5秒,在对绿灯倒数计数时,则计数器的输入端应该为30,在置数信号到达时即可将其置数到输出端,并可开始计数。而当这30秒将要倒数完成时,又要考虑将输入端数据变成05(2)如果设计成任意输入数据,将以何种方式进行输入。 在设计这部分的电路时我首先想到的是第二个问题,开始阶段我所采用的是74LS151,同时决定给每一位个数据分配一个控制开关,但是,问题显而易见,开关太多了……粗略估计了一下,大概需要四十个左右……所以显然方案不行。之后想到了可以用脉冲的方法对计数器进行计数,即通过对计数器的CLK端不断的接高低电平,以达到将想要赋的数存储在计数器中的目的。就是利用的这种不断开起闭合开关的方法,从而将需要赋给核心计数部分的数据先储存起来。完成这部分功能的器件,我选择了74LS160和开关若干。 经过上面的叙述,已经了解了如何将想要实现的大路小路的各种灯的点亮描述输入进来,以及输入系统之后的储存原理。下面所要讲述的是如何将已经输入到系统中,并暂时储存的数据,分时段赋给计数部分份的原理。 从我的原理图可以看到,左侧有四个模块,每个模块由若干个74LS160和74LS153组成,且线路是相互垂直连接的,其中上面两个模块负责的是对黄灯和绿灯时间的计数,现以这两部分进行讲解。74LS153的作用是对已经存储在74160中的数据进行选择。设上数第一个模块为模块A,第二个为模块B。A的作用是对秒的十位进行赋值,B的作用是对秒的个位进行赋值。现以实例讲解:设要求输入大路口绿灯25秒,黄灯04秒,小路口绿灯15秒。同时可以得出,小路口红灯30秒,大路口红灯20秒。则在模块AB中的74LS160从上到下所存储的数据为2(0010)、1(0001)、5(0101)、4(0100)、5(0101)。AB中的数据选择器负责对这些数据进行选择,并输出给计数部分。当数据选择器中的输入端AB为00是,即输出所有AB中的数据选择器的1*0,2*0项,即,A模块通过两个153将输出0010(2),并赋给负责计秒数十位的核心计数部分的模块通过两个153将输出0101(5),即,此时的核心计数部分负责绿灯黄灯秒数的计数器74190的输入端0010

数字电路课程设计实验报告

数字电路课程设计 设计报告 学院:计算机与信息学院 姓名: 学号: 班级:通信班 指导老师:许良凤吴从中 设计题目一:智力竞赛电子抢答器 1.设计任务与要求 (1)通道数8个,每路设置一个抢答按钮, 供抢答者使用。 (2)电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关, 则该组指示灯亮, 显示电路显示出抢答者的组别, 同时扬声器发出“滴嘟”的双音, 音响持续2~3 s。 (3)电路应具备自锁功能, 一旦有人事先抢答, 其他开关不起作用。 2. 方案设计与论证 总体框图: 74LS148

工作原理: 抢答时各组对主持人提出的问题在最短的时间内做出判断,并按下抢答键回答问题。当第一个人按下按键后,在显示器上显示出该组的号码,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持人将所有按键回复,重新开始下一轮抢答。 因此要完成抢答器的逻辑功能,该电路至少应包括输入开关,数字显示,判别组控制以及组号锁存等部分。 当主持人控制开关处于“清除”位置时,输出端全部为低电平,于是74LS48的BI非为低电平,显示器灭灯;74LS148的选通输入端ST非为低电平,74LS148处于工作状态,此时锁存电路不工作。当主持人开关拨到“开始”位置时,优先编码电路和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端输入信号,当有选手将按钮按下时,经74LS48译码后,显示器上显示出选手编号。此外,CTR为高电平,使74LS148的ST非端为高电平,74LS148处于禁止工作状态,锁存其他按钮的输入。当按下的按钮松开后,74LS148的非为高电平,但由于CTR维持高电平不变,所以74LS148仍处于禁止工作状态,其他按钮的输入信号不会被接受。这就保证了抢答者的优先性以及抢答电路的准确性。当优先抢答者回答完问题后,由主持人操作控制开关S,使抢答电路复位,以便进行下一轮抢答。 功能模块: (1)输入电路:输入电路由锁存器74LS373和按键组成 (2)锁存器控制电路:锁存器控制电路由相关的门电路组成 (3)数码显示电路:优先编码器74LS148进行编码,编成的二进制代码再送到BCD 码七段译码驱动器74LS247,最后送到共阳极的七段数码管,显示相应的数字。 工作过程: 接通电源时,节目主持人将开关置于清除位置,抢答器处于禁止工作状态,编号现实灭灯,定时显示器上显示设定的时间,当节目主持人宣布抢答开始后,将控制开关拨到开始位置,抢答器处于工作状态。当选手按动抢答按钮时,抢答器完成以下工作: ①优先编码电路立即分辨出抢答者的编号,并有锁存器进行锁存,然后由译码器显示电路显示编号。 ②控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答。 3.单元电路设计 电路整体由输入单元,编码单元,译码单元,锁存单元,显示单元组成。 (1)输入单元 输入部分由8个按钮开关和74LS373锁存器组成,在没人抢答时,74LS373的使能端为高电平,此时芯片处于工作状态,当有人抢答时,使能端为低电平,电路输入端被锁存,输出端继续输出锁存前的数据,即输出不受影响。 所用74LS373芯片资料: 引脚: 真值表:

数字电子技术课程设计题目

数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止;

④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; ⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 74LS175、74LS20、74LS00、CC40192、CC4511、CC40106、555、数码管、蜂鸣器 2.多功能数字钟的设计 (1)基本功能 ①用七段LED数码管以十进制显示“时”、“分”、“秒”; ②计时计数器用24进制计时电路; ③可手动校时,能分别进行时、分的校正; ④整点报时。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能;

数字电路课程设计:数字钟

四川航天职业技术学院 电子工程系课程设计专业名称:电子信息工程技术 课程名称:数子电路课程设计 课题名称:数字钟兼钟控定时器的设计 设计人员:覃敏 指导教师:申勇 2010年06月11日

课程设计报告书评阅页 课题名称:数字钟兼钟控定时器的设计 班级:G08电信2班 姓名:覃敏 2010 年06 月11 日指导教师评语: 考核成绩:指导教师签名: 200 年月日

《数字电路课程设计》任务书 一、课题名称:数字钟兼钟控定时器的设计 二、技术指标: 1.时间以24小时为一个周期; 2.显示时、分、秒; 3.有校时功能,可以分别对时及分进行单独校时,使其校正到 标准时间; 4.计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报 时; 5.为了保证计时的稳定及准确须由晶体振荡器提供表针时间 基准信号。 三、要求: 1.画出电路原理图(或仿真电路图); 2.元器件及参数选择; 3.电路仿真与调试; 指导教师:申勇 学生:覃敏 电子工程系 2010 年06月11日

摘要 数字钟是采用数字电路实现时、分、秒数字显示的计时装置。由于数字集成电路的发展和石英晶体振荡器的使用,使得数字钟的精度、稳定性远远超过了机械钟表,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。数字钟可用中小规模集成电路制作,也可用做大规模集成电路制作。若用中小规模集成电路制作,至少需要振荡器、分频器、计算器、显示器等电路,所用的元器件较多,仅计数器就需要好多块,虽然原理简单,但所画出的电路图比较复杂,功能单一。 关键字:振荡器、周期、IC、LED

相关文档
最新文档