综合课程设计——两位十进制数加法实验报告

综合课程设计——两位十进制数加法实验报告
综合课程设计——两位十进制数加法实验报告

告学生姓名:

指导教师:

一、实验室名称:

二、实验项目名称:综合课程设计——两位十进制数加减法的实现

三、实验原理:

1、FPGA的矩阵键盘

开发板上设计了行列式矩阵编码键盘,规模为4*4,可作为外部输入。

其对应管脚分布如下:

2、自由按键电路

KEY1 对应P102,KEY2 对应P101。

3、拨码开关

板上设计了一组8 位的拨码开关,ON为低电平,OFF为高电平。拨码开关可供用户输入一些高低电平。

4、键盘扫描及数码显示

对键盘的扫描分为三步,首先检测由于没有按键按下,然后在有按键按下的情况下,先进行行扫描找出对应按键是哪行按下,接着是对应的列扫描最终确定是哪一个按键。给对应的按键赋上预先设定的值连接到数码管便能控制数码管显示。我们需要如下几个信号来实现编写这一部分程序:key_code,用来控制数码管显示相应的值;row,用来控制行扫描;column,用来控制列扫描。

要利用8个数码管显示8个不同的数字,由于此为动态显示,送到每一段的值都一样,利用显示使能en,来控制亮灭,同时提高显示时钟频率,可以实现8位数码管的不同值显示。

5、去抖

利用普通的CASE语句编写的程序会出现按键按下值会一直递增的现象,我们需要一个优化的能够实现对长按下的键只记一次。需要重新自己设定一个去抖参数。个人思想如下:设定去抖参数qudou,当没有按键按下时其自动置为0,在检测按键时如果同时检测都qudou=0才做对应键值加1的操作且同时把去抖参

数置为1;如此便能够实现。

6、两位十进制加减法实现原理

加数和被加数的输入由矩阵键盘扫描完成,具体实现是,利用状态机,一个状态来进行加数的输入然后跳转到另一状态,进行被加数的输入,两个数输入完成后,下一状态为显示结果。同时需要一位来控制是做加法还是减法。

状态机设计,利用VHDL设计有限状态机分为两个定义,三个进程。两个定义分别用来定义状态变量以及状态机的状态;三个进程分别用来描述状态寄存器状态,状态转移进程以及状态输出。

7、FPGA硬件管脚的配置

在实现时首先要做的事是管脚的配置,根据程序的功能来配置管脚从而可以从实验板上观察到所需的状态。注意每一段的对应以及功能的设置。

四、实验目的:

1、进一步熟悉基于FPGA的硬件编程实现;

2、掌握如何实效编程;

3、熟悉程序基于ISE的仿真以及改错;

4、掌握编程驱动FPGA的键盘扫描以及数码显示的方法;

5、实现自主创新,编程实现自己的想法,并熟悉利用FPGA硬件实现编程;

6、进一步实践前两次实验的理论,全自主掌握管脚配置,程序调试下载并

运行,提高动手能力。

五、实验内容:

编写程序实现两位十进制数的加减法并用数码管显示,具体功能如下:

1、手动从键盘输入两个数;

2、具有清零功能;

3、能够实现加减法互换。

六、实验器材(设备、元器件):

FPGA实验板一块、下载线一套、PC机一台

七、实验步骤:

1、打开桌面EDA文件夹中的ISE软件,并新建一个ADD_SUB工程;

2、在“Sources in Project”子窗口中右击,选择Add Source… ,添加

VHDL设计文件,编写程序;

3、保存并进行语法检错,若有错误返回修改,直到没有错误提示为止;

4、连接好FPGA和下载线套装;

5、进行管脚配置,在“Sources in Project”子窗口中右击,选择Add

Source… ,选择添加 .ucf 文件,打开所添加的 .ucf 文件在对应的地

方输入管脚即可,或者是打开工程文件夹,找到ADD_SUB.ucf文件以文

本文档方式打开,在里面编写形如:NET "clk" LOC = "p18" ;(“”中

的为信号名称,=后面的为对应管脚)的管脚配置参数,编写完成后保存。

6、把程序进行仿真并载入到FPGA实验板上观察现象是否与理论一致;

7、若不符合则,返回修改程序,直到现象符合。

八、实验数据及结果分析:

1、实验程序:

A、主程序部分

library ieee;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity design is

port(

clk : in std_logic;

rst : in std_logic;

row : out std_logic_vector(3 downto 0);

column : in std_logic_vector(3 DOWNTO 0);

dataout : out std_logic_vector(7 DOWNTO 0);

en : out std_logic_vector(7 downto 0);

add_or_sub : in std_logic;

stage : in std_logic);

end design;

architecture arch of design is

component xiaodou

port (

clk : in std_logic;

rst : in std_logic;

data_in : in std_logic;

data_out : out std_logic);

end component;

component display

port (

num : in std_logic_vector(12 downto 0);

en : out std_logic_vector(7 downto 0);

dataout : out std_logic_vector(7 downto 0);

clk : in std_logic;

rst : in std_logic);

end component;

component keyscan

port(

clk : in std_logic;

rst : in std_logic;

row : out std_logic_vector(3 downto 0);

column : in std_logic_vector(3 DOWNTO 0);

cnt : in std_logic_vector(1 downto 0);

dat1 : out std_logic_vector(3 downto 0);

dat2 : out std_logic_vector(3 downto 0)); end component;

signal cnt : std_logic_vector(1 downto 0);

signal dat1 : std_logic_vector(3 downto 0); signal dat2 : std_logic_vector(3 downto 0); signal row_temp : std_logic_vector(3 downto 0); signal dat : std_logic_vector(4 downto 0);

signal stage_x : std_logic;

signal num : std_logic_vector(12 downto 0);

begin

i1:xiaodou

port map(clk,rst,stage,stage_x);

process(clk,rst)

begin

if(rst = '0') then

cnt <= "00";

elsif(clk'event and clk = '1') then

if(stage_x = '1') then

cnt <= cnt + "01";

end if;

end if;

end process;

row <= row_temp;

process(add_or_sub,dat1,dat2)

begin

if(add_or_sub = '1') then

dat <= ('0'&dat1) + ('0'&dat2);

else

dat <= ('0'&dat1) - ('0'&dat2);

end if;

end process;

num(3 downto 0) <= dat1;

num(7 downto 4) <= dat2;

num(12 downto 8) <= dat;

i2:display

port map(num,en,dataout,clk,rst);

i3:keyscan

port map(clk,rst,row_temp,column,cnt,dat1,dat2);

end arch;

B、消抖模块

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity xiaodou is

port (

clk : in std_logic;

rst : in std_logic;

data_in : in std_logic;

data_out : out std_logic);

end xiaodou;

architecture arch of xiaodou is

signal count : std_logic_vector(20 downto 0);

signal data_in_s : std_logic;

signal data_in_s_r : std_logic;

signal data_in_ctrl : std_logic;

begin

process(clk,rst)

begin

if(rst = '0') then

count <= "000000000000000000000";

data_in_s <= data_in;

elsif(clk'event and clk = '1') then

count <= count + "000000000000000000001";

if(count = "111111111111111111111") then

data_in_s <= data_in;

end if;

end if;

end process;

process(clk)

begin

if(clk'event and clk = '1') then

data_in_s_r <= data_in_s;

end if;

end process;

data_in_ctrl <= data_in_s_r and (not(data_in_s)); data_out <= data_in_ctrl;

end arch;

C、显示译码模块

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity display is

port (

num : in std_logic_vector(12 downto 0);

en : out std_logic_vector(7 downto 0);

add_or_sub : in std_logic;

dataout : out std_logic_vector(7 downto 0);

clk : in std_logic;

rst : in std_logic);

end display;

architecture arch of display is

constant state0 : std_logic_vector(2 downto 0) := "000"; constant state1 : std_logic_vector(2 downto 0) := "001"; constant state2 : std_logic_vector(2 downto 0) := "010"; constant state3 : std_logic_vector(2 downto 0) := "011"; constant state4 : std_logic_vector(2 downto 0) := "100"; constant state5 : std_logic_vector(2 downto 0) := "101"; constant state6 : std_logic_vector(2 downto 0) := "110"; constant state7 : std_logic_vector(2 downto 0) := "111";

signal state : std_logic_vector(2 downto 0);

signal count : std_logic_vector(15 downto 0);

signal dataout_temp : std_logic_vector(3 downto 0);

signal num1 : std_logic_vector(7 downto 0);

signal num2 : std_logic_vector(7 downto 0);

signal num3 : std_logic_vector(7 downto 0);

begin

process(clk,rst)

begin

if(rst = '0') then

state <= state0;

elsif(clk'event and clk = '1') then

count <= count +"0000000000000001";

if(count = "1111111111111111") then

case state is

when state0 => state <= state1;

when state1 => state <= state2;

when state2 => state <= state3;

when state3 => state <= state4;

when state4 => state <= state5;

when state5 => state <= state6;

when state6 => state <= state7;

when state7 => state <= state0;

when others => state <= state0;

end case;

end if;

end if;

end process;

process(num)

begin

if(num(3 downto 0) > "1001") then

num1(7 downto 4) <= "0001";

num1(3 downto 0) <= num(3 downto 0) - "1010";

else

num1(7 downto 4) <= "0000";

num1(3 downto 0) <= num(3 downto 0);

end if;

if(num(7 downto 4) > "1001") then

num2(7 downto 4) <= "0001";

num2(3 downto 0) <= num(7 downto 4) - "1010";

else

num2(7 downto 4) <= "0000";

num2(3 downto 0) <= num(7 downto 4);

end if;

if(num(12 downto 8) > "11101") then

num3(7 downto 4) <= "0011";

num3(3 downto 0) <= num(11 downto 8) - "1110";

elsif(num(12 downto 8) > "10011") then

num3(7 downto 4) <= "0010";

num3(3 downto 0) <= num(11 downto 8)-"0100";

elsif(num(12 downto 8) > "01001") then

num3(7 downto 4) <= "0001";

num3(3 downto 0) <= num(11 downto 8)-"1010";

else

num3(7 downto 4) <= "0000";

num3(3 downto 0) <= num(11 downto 8);

end if;

end process;

process(state,num1,num2,num3,add_or_sub)

begin

case state is

when state0 =>

en <= "11111101";

dataout_temp <= num1(3 downto 0);

when state1 =>

en <= "11111110";

dataout_temp <= num1(7 downto 4);

when state2 =>

en <= "11111011";

if(add_or_sub='1')then

dataout_temp <= "1010";

elsif(add_or_sub='0')then

dataout_temp <= "1011";

end if;

when state3 =>

en <= "11101111";

dataout_temp <= num2(3 downto 0);

when state4 =>

en <= "11110111";

dataout_temp <= num2(7 downto 4);

when state5 =>

en <= "11011111";

dataout_temp <= "1100";

when state6 =>

en <= "01111111";

dataout_temp <= num3(3 downto 0);

when state7 =>

en <= "10111111";

dataout_temp <= num3(7 downto 4);

when others =>

NULL;

end case;

end process;

process(dataout_temp)

begin

case dataout_temp is

WHEN "0000" => dataout <= "11000000";

WHEN "0001" => dataout <= "11111001";

WHEN "0010" => dataout <= "10100100";

WHEN "0011" => dataout <= "10110000";

WHEN "0100" => dataout <= "10011001";

WHEN "0101" => dataout <= "10010010";

WHEN "0110" => dataout <= "10000010";

WHEN "0111" => dataout <= "11111000";

WHEN "1000" => dataout <= "10000000";

WHEN "1001" => dataout <= "10011000";

when "1010" => dataout <= "10000100";

when "1011" => dataout <= "10111111";

when "1100" => dataout <= "10110111";

WHEN OTHERS => dataout <= "11000000";

end case;

end process;

end arch;

D、按键扫描模块

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity keyscan is

port(

clk : in std_logic;

rst : in std_logic;

row : out std_logic_vector(3 downto 0);

column : in std_logic_vector(3 DOWNTO 0);

cnt : in std_logic_vector(1 downto 0);

dat1 : out std_logic_vector(3 downto 0);

dat2 : out std_logic_vector(3 downto 0));

end keyscan;

ARCHITECTURE arch OF keyscan IS

SIGNAL div_cnt : std_logic_vector(20 downto 0);

SIGNAL scan_key : std_logic_vector(3 DOWNTO 0); --扫描码寄存器SIGNAL key_code : std_logic_vector(3 DOWNTO 0);

SIGNAL dataout_tmp : std_logic_vector(7 DOWNTO 0);

signal start_delay: std_logic;

SIGNAL cnt_delay : std_logic_vector(19 DOWNTO 0); --按键延时计数器

BEGIN

row <= scan_key;

PROCESS(clk,rst)

BEGIN

IF (NOT rst = '1') THEN

div_cnt <= "000000000000000000000";

ELSIF(clk'EVENT AND clk = '1')THEN

if(start_delay='0') then

div_cnt <= div_cnt + 1;

else

div_cnt <=div_cnt;

end if;

END IF;

END PROCESS;

PROCESS(div_cnt(20 downto 19))

BEGIN

CASE div_cnt(20 downto 19) IS

WHEN "00"=> scan_key<="1110";

WHEN "01"=> scan_key<="1101";

WHEN "10"=> scan_key<="1011";

WHEN "11"=> scan_key<="0111";

when others => NULL;

END CASE;

END PROCESS;

PROCESS(clk,rst)

BEGIN

IF (NOT rst = '1') THEN

cnt_delay <= "00000000000000000000";

ELSIF(clk'event and clk='1')THEN

IF (start_delay = '1') THEN

IF (cnt_delay /= "11000011010100000000") THEN

cnt_delay <= cnt_delay + "00000000000000000001";

ELSE

cnt_delay <= "00000000000000000000";

END IF;

END IF;

END IF;

END PROCESS;

process(clk,rst)

begin

IF (NOT rst = '1') THEN

key_code <= "0000";

start_delay<='0';

ELSIF(clk'EVENT AND clk='1')THEN

if(cnt_delay = "00000000000000000000" AND ( column="1110" OR column="1101" OR column="1011" OR column="0111")) then

start_delay <= '1';

ELSE

IF (cnt_delay = "11000011010100000000") THEN

start_delay <= '0';

CASE scan_key IS --检测何处有键按下

WHEN "1110" =>

CASE column IS

WHEN "1110" =>

key_code <= "0000";

WHEN "1101" =>

key_code <= "0001";

WHEN "1011" =>

key_code <= "0010";

WHEN "0111" =>

key_code <= "0011";

WHEN OTHERS =>

NULL;

END CASE;

WHEN "1101" =>

CASE column IS

WHEN "1110" =>

key_code <= "0100";

WHEN "1101" =>

key_code <= "0101";

WHEN "1011" =>

key_code <= "0110";

WHEN "0111" =>

key_code <= "0111";

WHEN OTHERS =>

NULL;

END CASE;

WHEN "1011" =>

CASE column IS

WHEN "1110" =>

key_code <= "1000";

WHEN "1101" =>

key_code <= "1001";

WHEN "1011" =>

key_code <= "1010";

WHEN "0111" =>

key_code <= "1011";

WHEN OTHERS =>

NULL;

END CASE;

WHEN "0111" =>

CASE column IS

WHEN "1110" =>

key_code <= "1100";

WHEN "1101" =>

key_code <= "1101";

WHEN "1011" =>

key_code <= "1110";

WHEN "0111" =>

key_code <= "1111";

WHEN OTHERS =>

NULL;

END CASE;

WHEN OTHERS =>

key_code <= "1111";

END CASE;

end if;

end if;

end if;

end process;

process(cnt,rst,key_code)

begin

if(rst = '0') then

dat1 <= "0000";

dat2 <= "0000";

else

if(cnt = "00") then

dat1 <= key_code;

elsif(cnt = "01") then

dat2 <= key_code;

end if;

end if;

end process;

END arch;

2、管脚配置

NET "clk" LOC = "p18" ;

NET "add_or_sub" LOC = "p100" ; NET "column<0>" LOC = "p134" ; NET "column<1>" LOC = "p131" ; NET "column<2>" LOC = "p132" ; NET "column<3>" LOC = "p130" ; NET "dataout<0>" LOC = "p140" ; NET "dataout<1>" LOC = "p139" ; NET "dataout<2>" LOC = "p141" ; NET "dataout<3>" LOC = "p4" ;

NET "dataout<4>" LOC = "p5" ;

NET "dataout<5>" LOC = "p6" ;

NET "dataout<6>" LOC = "p7" ;

NET "dataout<7>" LOC = "p10" ; NET "en<0>" LOC = "p21" ; NET "en<1>" LOC = "p20" ; NET "en<2>" LOC = "p19" ; NET "en<3>" LOC = "p11" ; NET "en<4>" LOC = "p12" ; NET "en<5>" LOC = "p13" ; NET "en<6>" LOC = "p22" ; NET "en<7>" LOC = "p23" ; NET "row<0>" LOC = "p126" ; NET "row<1>" LOC = "p129" ;

NET "row<2>" LOC = "p123" ;

NET "row<3>" LOC = "p124" ;

NET "rst" LOC = "p102" ;

NET "stage" LOC = "p101" ;

3、实验图片

九、实验结论:

1、通过重新设定一个去抖参数能够有效快捷的实现去抖功能;

2、程序的FPGA硬件实现需要对其内部管脚进行编码;

3、在将程序烧录到FPGA板子上时特别注意对应位流文件要选择正确;

4、提高显示时钟频率能够让动态显示数码管显示不同的数值;

5、利用状态机有效地实现了,分时的输入数值和显示功能;

6,在综合时ISE软件会自动的综合掉对输出没有影响的信号。

十、总结及心得体会:

通过编写程序,深刻的学习到VHDL编程的精髓以及在编程过程中应该注意的一些语法之类的问题,在仿真过程中也清晰地学习到了利用FPGA进行设计仿真的流程以及需要注意的问题。通过课程设计大大的提升了动手能力以及对学习到的知识的应用实践。

十一、对本实验过程及方法、手段的改进建议:

虽然说本实验的结果与理论相符但是还有可以改进的地方比如说:加减号的显示方面,以及在输入时的显示错位等等,其他优化方面还有待提高。

报告评分:

指导教师签字:

课程设计实验报告

竭诚为您提供优质文档/双击可除 课程设计实验报告 篇一:课程设计(综合实验)报告格式 课程设计报告 (20XX--20XX年度第一学期) 名称:题目:院系:班级:学号:学生姓名:指导教师:设计周数: 成绩:日期:《软件设计与实践》课程设计计算机系软件设计与实践教学组 20XX年1月14 日 《软件设计与实践》课程设计 任务书 一、目的与要求 1.了解网络爬虫的架构和工作原理,实现网络爬虫的基本框架;2.开发平台采用JDK1.60eclipse集成开发环境。 二、主要内容 1.了解网络爬虫的构架,熟悉网页抓取的整个流程。

2.学习宽度优先和深度优先算法,实现宽度crawler应用程序的编写、调试和运行。 3.学习主题爬行及内容分析技术。 4.实现网络爬虫的基本框架。 三、进度计划 四、设计成果要求 1.要求按时按量完成所规定的实验内容; 2.界面设计要求友好、灵活、易操作、通用性强、具有实用性; 3.基本掌握所采用的开发平台。五、考核方式 平时成绩+验收+实验报告。 学生姓名:于兴隆指导教师:王蓝婧20XX年1月2日 一、课程设计的目的与要求1.目的: 1.1掌握crawler的工作原理及实现方法;1.2了解爬虫架构; 1.3熟悉网页抓取的整个流程及操作步骤; 1.4掌握宽度优先,深度优先算法,并实现宽度crawler 应用程序的编写、调试和运行;1.5掌握主题爬行及内容分析技术;1.6实现一个最基础的主题爬虫的过程;1.7理解pageRank算法,并编程验证;二、设计正文 网络爬虫研究与应用 [摘要]:本文通过对网络爬虫研究的逐步展开,讨论了爬虫的相关概念与技术,并通过实验设计了简单的基于宽度

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

电子电路课程设计密码锁(满分实验报告)

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单……………………………………………19四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如 果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输入 密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。 开锁green 键盘密码锁 错误red 4

vf课程设计实验报告模板

vf 课程设计实验报告模板 经济管理学院 学生信息管理系统的设计与实现 09年12 月28 日 、课程设计的目的和意义 当今,人类正在步入一个以智力资源的占有和配置,知识生产、分配和使用为最重要因素的知识经济时代,为了适应知识经济时代发展的需要,大力推动信息产业的发展,我们通过对学生信息管理系统的设计,来提高学生的操作能力,及对理论知识的实践能力,从而提高学生的基本素质,使其能更好的满足社会需求。 学生信息管理系统是一个简单实用的系统,它是学校进行学生管理的好帮手。 此软件功能齐全,设计合理,使用方便,适合各种学校对繁杂的学生信息进行统筹管理,具有严格的系统使用权限管理,具有完善的管理功能,强大的查询功能。它可以融入学校的信息管理系统中,不仅方便了学生信息各方面的管理,同时也为教师的管理带来了极大地便利。 我们进行本次课程设计的主要目的是通过上机实践操作,熟练掌握数据库的设 计、表单的设计、表单与数据库的连接、SQL语言的使用和了解它的功能:数据定 义、数据操纵、数据控制,以及简单VF程序的编写。基本实现学生信息的管理, 包括系统的登录、学生信息的录入、学生信息的浏览、学生信息的查询、学生信息的修改和学生信息的删除,并对Visual FoxPro6.0 的各种功能有进一步的了解,为我们更进一步深入的学习奠定基础,并在实践中提高我们的实际应用能力,为我们以后的学习和工作提供方便,使我们更容易融入当今社会,顺应知识经济发展的趋势。 - 1 -

、系统功能设计 通过该系统可以基本实现学生信息的管理,包括系统的登录、学生信息的录 入、学生信息的浏览、学生信息的查询、学生信息的修改和学生信息的删除。系统 功能模块如下图所示。 学生信息管理系统主界面 登录 管理 学学学学学 生生生生生 信信信信信 息息息息息 录查浏修删 入询览改除 三、系统设计内容及步骤 3.1创建项目管理文件 1.启动foxpro 系统,建一个项目管理器,命名为“学生管理”。 哑 目f ■ 也 电 岂同左 矣 氏H. 0 存 JI 蛋誤曾

计算机操作系统综合设计实验报告实验一

计算机操作系统综合设计 实验一 实验名称:进程创建模拟实现 实验类型:验证型 实验环境: win7 vc++6.0 指导老师: 专业班级: 姓名: 学号: 联系电话: 实验地点:东六E507 实验日期:2017 年 10 月 10 日 实验报告日期:2017 年 10 月 10 日 实验成绩:

一、实验目的 1)理解进程创建相关理论; 2)掌握进程创建方法; 3)掌握进程相关数据结构。 二、实验内容 windows 7 Visual C++ 6.0 三、实验步骤 1、实验内容 1)输入给定代码; 2)进行功能测试并得出正确结果。 2、实验步骤 1)输入代码 A、打开 Visual C++ 6.0 ; B、新建 c++ 文件,创建basic.h 头文件,并且创建 main.cpp 2)进行功能测试并得出正确结果 A 、编译、运行main.cpp B、输入测试数据 创建10个进程;创建进程树中4层以上的数型结构 结构如图所示:。

createpc 创建进程命令。 参数: 1 pid(进程id)、 2 ppid(父进程id)、3 prio(优先级)。 示例:createpc(2,1,2) 。创建一个进程,其进程号为2,父进程号为1,优先级为2 3)输入创建进程代码及运行截图 4)显示创建的进程

3、画出createpc函数程序流程图 分析createpc函数的代码,画出如下流程图:

四、实验总结 1、实验思考 (1)进程创建的核心内容是什么? 答: 1)申请空白PCB 2)为新进程分配资源 3)初始化进程控制块 4)将新进程插入到就绪队列 (2)该设计和实际的操作系统进程创建相比,缺少了哪些步骤? 答:只是模拟的创建,并没有分配资源 2、个人总结 通过这次课程设计,加深了对操作系统的认识,了解了操作系统中进程创建的过程,对进程创建有了深入的了解,并能够用高 级语言进行模拟演示。一分耕耘,一分收获,这次的课程设计让 我受益匪浅。虽然自己所做的很少也不够完善,但毕竟也是努 力的结果。另外,使我体会最深的是:任何一门知识的掌握, 仅靠学习理论知识是远远不够的,要与实际动手操作相结合才能 达到功效。

电子商务系统分析与设计课程设计实验报告范本

电子商务系统分析与设计课程设计实 验报告

江苏科技大学 电子商务系统分析与设计课程设计 网上书城系统的开发 学生姓名张颖 学号 班级08404121 指导老师 成绩 经济管理学院信息管理系 1月8日 目录 一.系统规划 (4)

1.2初步调查 (5) 1.3确定电子商务模式和模型 (6) 1.4可行性分析和可行性分析报告 (6) 二.系统分析 (8) 2.1系统调查 (8) 2.2需求规格说明书 (9) 2.2.1 引言 (9) 2.2.2项目概述 (9) 2.2.3需求规定 (10) 2.2.4环境要求 (16) 2.3组织结构分析 (17) 2.4业务流程分析 (17) 2.5数据流程分析 (19) 三.系统设计 (21) 3.1系统总体结构 (21) 3.2网络基本结构 (22) 3.3系统平台选择 (22) 3.4应用系统方案 (23) 3.4.1各功能模块简要描述 (23) 3.4.4数据库设计 (24) 3.4.5用户界面设计 (31)

3.5.1客户端要求 (32) 3.5.2服务器端要求 (32) 3.5.3系统测试 (32) 四.支付系统设计 (39) 4.1支付协议选择 (39) 4.2支付系统数据流程分析 (39) 4.3支付系统安全需求分析 (41) 4.4支付系统总体设计 (42) 4.5支付系统功能 (44) 4.6交易流程设计 (46) 4.7支付系统安全设计 (47) 五.心得体会 (47) 一.系统规划 1.1明确用户需求 随着当今社会新系统大度的提高,网络的高速发展,计算机已被广泛应用于各个领域,因而网络成为人们生活中不可或缺的一部分。互联网用户应经接受了电子商务,网购成为一种时尚潮流。

程序设计课程设计实验报告

《程序设计》课程设计姓名: 学号: 班级:软件工程14班 指导教师: 成绩:

1.消除类游戏 【问题描述】 消除类游戏是深受大众欢迎的一种游戏,游戏在一个包含有n行m列的游戏棋盘上进行,棋盘的每一行每一列的方格上放着一个有颜色的棋子,当一行或一列上有连续三个或更多的相同颜色的棋子时,这些棋子都被消除。当有多处可以被消除时,这些地方的棋子将同时被消除。 【基本要求】 现在给你一个n行m列的棋盘(1≤n,m≤30),棋盘中的每一个方格上有一个棋子,请给出经过一次消除后的棋盘。 请注意:一个棋子可能在某一行和某一列同时被消除。 输入数据格式: 输入的第一行包含两个整数n,m,用空格分隔,分别表示棋盘的行数和列数。接下来n行,每行m 个整数,用空格分隔,分别表示每一个方格中的棋子的颜色。颜色使用1至9编号。 输出数据格式: 输出n行,每行m个整数,相邻的整数之间使用一个空格分隔,表示经过一次消除后的棋盘。如果一个方格中的棋子被消除,则对应的方格输出0,否则输出棋子的颜色编号。 【测试数据】 为方便调试程序,可将输入数据先写入一个文本文件,然后从文件读取数据处理,这样可避免每次运行程序时都要从键盘输入数据。 测试数据一 输出说明: 棋盘中第4列的1和第4行的2可以被消除,其他的方格中的棋子均保留。 测试数据二 输出说明: 棋盘中所有的1以及最后一行的3可以被同时消除,其他的方格中的棋子均保留。 【功能实现】 #include #include<> usingnamespacestd;

{ intm,n,i,j; inttemp; cin>>n>>m; temp=m; m=n; n=temp; int*map=newint[m*n]; int*mark=newint[m*n]; int*tmap=map; int*tmark=mark; intdif=0; ount=0; } p rintf("请输入要输入数的个数\n"); s canf("%d",&n);/*输入要输入数的个数*/ f or(i=0;idata1[j+1].number)

【实验报告】大学物理实验课程设计实验报告

大学物理实验课程设计实验报告北方民族大学 大学物理实验(设计性实验) 实验报告 指导老师:王建明 姓名:张国生 学号:XX0233 学院:信息与计算科学学院 班级:05信计2班 重力加速度的测定 一、实验任务 精确测定银川地区的重力加速度 二、实验要求 测量结果的相对不确定度不超过5% 三、物理模型的建立及比较 初步确定有以下六种模型方案: 方法一、用打点计时器测量

所用仪器为:打点计时器、直尺、带钱夹的铁架台、纸带、夹子、重物、学生电源等. 利用自由落体原理使重物做自由落体运动.选择理想纸带,找出起始点0,数出时间为t的p点,用米尺测出op的距离为h,其中t=0.02秒×两点间隔数.由公式h=gt2/2得g=2h/t2,将所测代入即可求得g. 方法二、用滴水法测重力加速度 调节水龙头阀门,使水滴按相等时间滴下,用秒表测出n个(n取 50―100)水滴所用时间t,则每两水滴相隔时间为t′=t/n,用米尺测出水滴下落距离h,由公式h=gt′2/2可得g=2hn2/t2. 方法三、取半径为r的玻璃杯,内装适当的液体,固定在旋转台上.旋转台绕其对称轴以角速度ω匀速旋转,这时液体相对于玻璃杯的形状为旋转抛物面重力加速度的计算公式推导如下: 取液面上任一液元a,它距转轴为x,质量为m,受重力mg、弹力n.由动力学知: ncosα-mg=0(1) nsinα=mω2x(2) 两式相比得tgα=ω2x/g,又tgα=dy/dx,∴dy=ω2xdx/g, ∴y/x=ω2x/2g.∴g=ω2x2/2y. .将某点对于对称轴和垂直于对称轴最低点的直角坐标系的坐标x、y测出,将转台转速ω代入即可求得g.

个人信息管理系统毕业课程设计实验报告

(此文档为word格式,下载后您可任意编辑修改!) 山东交通学院 目录 1.系统分析 (2) 1.1系统需求分析 (2) 1.2项目规划 (2) 1.3系统功能结构分析 (3) 1.4设计目的分析 (3) 2.数据库系统设计 (4) 2.1数据表概要设计 (4) 2.2数据库逻辑结构设计 (4) 3.应用程序设计 (5) 3.1界面设置 (5) 3.2关键技术 (15) 4.系统安装 (20) 建立数据源 (20) 5.设计体会 (21) 参考文献 (22)

摘要 随着经济社会的发展,计算机已被应用到社会生活的各个领域。与此同时,互联网作为信息技术的通信桥梁连接着全球的计算机,而网站作为网络信息主要的表现形式而且还是互联网信息的主要承载者,在网络上表现出其及其重要的地位,并发挥着极其重要的作用。无论是在国内还是国外都得以迅速的发展和壮大,并被人们重视和关注。互联网已经彻底的改变了世界,互联网的世界里蕴藏着无限的可能,在这种情况下,各行各业及其个人、单位、工厂、企事业等等在网上构筑属于自己的网络信息平台,保护自己的网络资源并在互联网上开辟自己的市场和消费群体,以及构造自己的数字化世界和加强全球范围内不同地域的人们联系交流等等活动也就显的日益重要。于是各种各样的网站便如雨后春笋般地出现鱼龙混杂且良莠不齐。因此,个人信息的管理就显得尤为重要了。不仅可以更好的保护个人信息,对日常的使用也会提供很大的方便。关键词:个人信息管理系统;数据集;数据库;Visual C++6.0。 1.系统分析 1.1系统需求分析 在做本系统前,我对系统的需求做了如下分析: 1)登录权限:在登录权限的分析上我们规定必须凭借用户名和密码才可登陆,进行管理。 2)使用者功能:首先说明一下,我们的系统用户对象是个人。关于其本系统的功能,大致可分为日记管理,通讯录管理,备忘录管理,以及个人财务管理;日记管理,其中包括用户对日记编号,时间,地点,事件、人物的查询、

南邮课程设计实验报告

课程设计I报告 题目:课程设计 班级:44 姓名:范海霞 指导教师:黄双颖 职称: 成绩: 通达学院 2015 年 1 月 4 日

一:SPSS的安装和使用 在PC机上安装SPSS软件,打开软件: 基本统计分析功能包括描述统计和行列计算,还包括在基本分析中最受欢迎的常见统计功能,如汇总、计数、交叉分析、分类比较、描述性统计、因子分析、回归分析及聚类分析等等。具体如下: 1.数据访问、数据准备、数据管理与输出管理; 2.描述统计和探索分析:频数、描述、集中趋势和离散趋势分析、分布分析与查看、正态性检验与正态转换、均值的置信区间估计; 3.交叉表:计数;行、列和总计百分比;独立性检验;定类变量和定序变量的相关性测度; 4.二元统计:均值比较、T检验、单因素方差分析; 5.相关分析:双变量相关分析、偏相关分析、距离分析; 6.线性回归分析:自动线性建模、线性回归、Ordinal回归—PLUM、曲线估计; 7.非参数检验:单一样本检验、双重相关样本检验、K重相关样本检验、双重独立样本检验、K重独立样本检验; 8.多重响应分析:交叉表、频数表; 9.预测数值结果和区分群体:K-means聚类分析、分级聚类分析、两步聚类分析、快速聚类分析、因子分析、主成分分析、最近邻元素分析; 10. 判别分析; 11.尺度分析; 12. 报告:各种报告、记录摘要、图表功能(分类图表、条型图、线型图、面积图、高低图、箱线图、散点图、质量控制图、诊断和探测图等); 13.数据管理、数据转换与文件管理; 二.数据文件的处理 SPSS数据文件是一种结构性数据文件,由数据的结构和数据的内容两部分构成,也可以说由变量和观测两部分构成。定义一个变量至少要定义它的两个属性,即变量名和变量类型其他属性可以暂时采用系统默认值,待以后分析过程中如果有需要再对其进行设置。在spss数据编辑窗口中单击“变量视窗”标签,进入变量视窗界面,即可对变量的各个属性进行设置。 1.创建一个数据文件数据 (1)选择菜单【文件】→【新建】→【数据】新建一个数据文件,进入数据编辑窗口。窗口顶部标题为“PASW Statistics数据编辑器”。 (2)单击左下角【变量视窗】标签进入变量视图界面,根据试验的设计定义每个变量类型。

中南大学电工电子课程设计实验报告

中南大学 电工电子技术课程设计报告 题目:可编程乐曲演奏器的设计 学院:信息科学与工程学院 指导老师:陈明义 专业班级: 姓名: 学号:

前言 随着科学技术发展的日新日异,电工电子技术在现代社会生产中占据着非常重要的地位,因此作为二十一世纪的自动化专业的学生而言,掌握电力电子应用技术十分重要。 电工电子课程设计的目的在于进一步巩固和加深所学电工电子基本理论知识。使学生能综合运用相关关课程的基本知识,通过本课程设计,培养我们独立思考的能力,学会和认识查阅学习我们未学会的知识,了解专业工程设计的特点、思路、以及具体的方法和步骤,掌握专业课程设计中的设计计算、软件编制,硬件设计及整体调试。设计过程中还能树立正确的设计思想和严谨的工作作风,达到提高我们的设计能力的目标。 从理论到实践,往往看似简单,实则是有很大的差距的,通过课程设计,可以培养我们学到很多东西,不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学到知识,从而提高自己的实际动手能力和独立思考的能力。 在次,特别感谢老师给我们以实践动手的机会,让我们对以前的知识以复习,整合,并从理论走向实践,相信我们都会在这次课程设计中学到很多!!!

目录 前言 (2) 正文 第一章系统概述 (4) 系统功能 (4) 系统结构 (4) 实验原理 (4) 整体方案 (5) 第二章单元电路的设计与分析 (5) 音频发生器的设计 (5) 节拍发生器的设计 (6) 读取存储器数据 (7) 选择存储器地址 (8) 控制音频电路设计 (8) 第三章电路的安装与调试 (9) 第四章结束语 (9) 元器件明细表 (10) 参考文献 (10) 附录 (11)

计算机网络课程设计实验报告

中南大学课程设计报告 课程:计算机网络课程设计 题目:基于Winpcap的网络流量统计分析 指导教师:张伟 目录 第一章总体设计 一、实体类设计 --------P3 二、功能类设计 --------P3 三、界面设计 --------P3

第二章详细设计 一、实体类实现 --------P4 二、功能类实现 --------P4 三、界面实现 --------P5 第三章源代码清单及说明 一、CaptureUtil.java --------P7 二、MyPcapPacketHandler.java --------P9 三、PacketMatch.java --------P9 四、Windows.java --------P13 第四章运行结果 --------P19 第五章心得体会 --------P21 第一章总体设计 一、实体类设计 TCP、UPD、ICMP、ARP、广播数据包五个包的数据结构设计 二、功能类设计 (1)网卡获取 (2)包的抓捕

(3)包的处理 三、界面设计 (1)布局 (2)按钮功能连接 第二章第二章详细设计 一、实体类实现 TCP、UPD、ICMP、ARP、广播数据包五个包的数据结构设计。 本程序采用Java编写,基于win10pcap。Win10pcap是winpcap在win10系统上的适用版本。Java对于winpcap使用jnetpcap进行支持。对于TCP、UPD、ICMP、ARP、广播数据包五种类型的包,在jnetpcap的jar包中大部分已经封装好了相关的实体类型。对应如下:ARP 实体类:https://www.360docs.net/doc/7d18808283.html,work.Arp; UPD 实体类:https://www.360docs.net/doc/7d18808283.html,work.Icmp;

c课程设计实验报告

c课程设计实验报 告

中南大学 本科生课程设计(实践)任务书、设计报告 (C++程序设计) 题目时钟控件 学生姓名 指导教师 学院交通运输工程学院 专业班级 学生学号 计算机基础教学实验中心 9月7日 《C++程序设计基础》课程设计任务书

对象:粉冶、信息、能源、交通工程实验2101学生时间: .6 2周(18~19周) 指导教师:王小玲 1.课程设计的任务、性质与目的 本课程设计是在学完《C++程序设计基础》课程后,进行的一项综合程序设计。在设计当中学生综合“面向对象程序设计与结构化程序设计”的思想方法和知识点,编制一个小型的应用程序系统。经过此设计进一步提高学生的动手能力。并能使学生清楚的知道开发一个管理应用程序的思想、方法和流程。 2.课程设计的配套教材及参考书 ●《C++程序设计》,铁道出版社,主编杨长兴刘卫国。 ●《C++程序设计实践教程》,铁道出版社,主编刘卫国杨长兴。 ●《Visual C++ 课程设计案例精编》,中国水力电力出版社,严华峰等编著。 3.课程设计的内容及要求 (1)自己任选一个题目进行开发(如画笔、游戏程序、练习打字软件等),要求利用MFC 工具操作实现。 (2)也可选一个应用程序管理系统课题(如:通讯录管理系统;产品入库查询系统;学生成绩管理;图书管理 等);

设计所需数据库及数据库中的数据表,建立表之间的关系。 设计所选课题的系统主封面(系统开发题目、作者、指导教师、日期)。 设计进入系统的各级口令(如系统管理员口令,用户级口令)。 设计系统的主菜单。要求具备下列基本功能: ●数据的浏览和查询 ●数据的统计 ●数据的各种报表 ●打印输出 ●帮助系统 多种形式的窗体设计(至少有查询窗体、输入窗体) 注意:开发的应用程序工作量应保证在2周时间完成,工作量不能太少或太多。能够2人合作,但必须将各自的分工明确。 4.写出设计论文 论文基本内容及撰写顺序要求: ●内容摘要 ●系统开发设计思想 ●系统功能及系统设计介绍 ●系统开发的体会

电子CAD课程设计实验报告

一.课程设计的目的 课程设计以电子线路CAD软件设计原理为基础,重点在硬件设计领域中实用的电子线路设计软件的应用。掌握电子线路设计中使用CAD的方法。为后继课程和设计打下基础。 通过电路设计,掌握硬件设计中原理图设计、功能仿真、器件布局、在线仿真、PCB设计等硬件设计的重要环节。 二.课程设计题目描述和要求 2.1振荡电路的模拟和仿真。 由555定时器构成多谐波振荡电路,用模拟的示波器观察输出的信号,熟悉555定时器构成多谐波振荡电路的基本原理,熟悉proteus的基本操作,和各元器件的查找。 2.2 8051单片机 用80c51单片机完成以下功能:(1)构成流水灯的控制电路,使八个流水灯轮流点亮。(2)构成音乐播放的简单电路。(3)构成串口通信电路,完成信息在单片机和串口之间的传播。(4)构成8255键盘显示模块。(5)构成A/D和D/A 转换模块。 首先用模拟器件构成基本电路,然后在单片机中加入驱动程序,运行仿真,最后对电路进行调整校正,完成相关功能。 熟悉单片机实现相关功能的基本原理,对单片机有个框架的了解。学习用proteus仿真单片机电路中不同模块间的组合,扩展单片机电路的功能。 三.课程设计报告内容。 3.1设计原理 3.1.1振荡电路仿真的原理 振荡电路原理: 555管脚功能介绍: 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电

物联网传输综合课程设计实验报告 人体红外数据通信实验

物联网传输综合课程设计实验报告 人体红外数据通信实验 一、实验目的 1. 了解基于Z-Stack 协议栈的SappWsn 应用程序框架的工作机制 2. 掌握在ZigBee 协议栈中添加人体红外传感器驱动的方法。 二、实验设备 1. 装有IAR 开发工具的PC 机一台 2. 下载器一个 3. 物联网多网技术开发设计平台一套 三、实验原理 在Z-Stack APP中的HAL\Target\CC2530EB\Includes组中,提供了一个hal_io.h的文件,如图所示。 其中,提供了名为HalIOSetInput 的函数,可以将燃气传感器端口(P1.0)设置为输入,然后通过调用HalIOGetLevel 函数来获取传感器状态。 四、实验步骤 1、将单片机zigbee协调器拆卸下来,取出烧写器。通过Mini USB接口将zigbee 协调器与下载器和PC机相连。

2、将实验箱控制方式切换开关拨至“手动”一侧,转动实验箱“旋钮节点选择”旋钮,使得协调器旁边的LED灯被点亮 3、打开配套代码中的ZStack-CC2530\Projects\SappWsn\SappWsn.eww工程文件,在“Tools”组中,找到“f8wConfig.cfg”文件,双击打开,并找到大概第59 行的“-DZAPP_CONFIG_PAN_ID=0xFFFF”,将其中的“0xFFFF”修改为其他值,例如0x0010

4、在工程目录结构树上方的下拉列表中,选择“CoordinatorEB”,点击工具栏中的“Make”按钮,编译工程,等待工程编译完成,如看到警告,可以忽略。在工程目录结构树中的工程名称上点击鼠标右键,选择“Options”,并在弹出的对话框中选择左侧的“Debugger”,并在右侧的“Driver”列表中选择“Texas Instruments”,点击“Download and Debug”按钮。待程序下载完毕后,点击“Go”按钮,使程序开始运行。点击工具栏中的“Stop Debugging”,退出调试模式, 5、转动实验箱“旋钮节点选择”旋钮,使得热释红外传感器节点旁边的LED灯被点亮,在工程目录结构树上方的下拉列表中,选择“EndDeviceEB”,在“SAPP_Device.h”文件中,取消“HAS_IRPERS”的注释,并保证其他的功能均被注释,如图所示

数字电子钟课程设计方案实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日

课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 指导教师:姚爱琴 2017 年 1 月 6 日

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.1秒信号电路 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.4校时电路 (9) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12)

【VIP专享】电子技术课程设计实验报告

电子技术课程设计实验报告 学院:物联网工程学院 班级:自动化1204 姓名:XXX 学号:1070412428 同组成员:XXX 二〇一四年六月

目录 一、实验名称 (3) 二、实验任务和要求 (3) 三、实验电路 (a)系统框图 (3) (b)总电路原理图 (4) (c)总电路管脚图 (5) 四、单元电路及原理分析 (1)+5V电源电路 (5) (2)正弦波发生及波形变换电路 (6) (3)单稳态定时电路 (7) (4)频率计数显示电路 (7) (5)超量程指示电路 (8) (6)控制电路 (9) 五、元器件列表 (10) 六、安装与调试 1、使用仪器仪表 (10) 2、安装 (10) 3、调试 (11) 4、调试中出现的故障、原因及排除方法 (14) 七、收获和体会 (15)

一、实验名称 正弦波发生、频率测量显示电路 二、实验任务和要求 正弦波振荡频率100~1000Hz,输出信号幅度5±5%V; (1)用3位数码管显示振荡频率; (2)能自动连续测量、显示频率,测量周期为4S; (3)用中规模集成电路实现。 三、实验电路 (a)系统框图 图1-1 正弦波发生电路组成框图 (b)总电路原理图

原理图分析:正弦波振荡器自激振荡产生正弦波输出信号,波形变换电路将正弦波变换成方波,方波输入到计数器中,由计数器对输入方波信号进行计数,计数器的计数结果在译码显示中显示;控制电路部分输出定时触发信号、超量程复位信号和清零信号,定时触发信号输入到单稳态定时电路中,单稳态定时电路将定时触发信号给计数器,计数器在定时周期内对方波信号进行计数;超量程复位信号和计数器输出的超量程指示同时控制超量程指示电路部分,发光二极管发光进行超量程指示;清零信号输入到计数器中,在计数超过量程时计数器清零。

实验报告总结(精选8篇)(优秀版)

《实验报告总结》 实验报告总结(一): 一个长学期的电路原理,让我学到了很多东西,从最开始的什么都不懂,到此刻的略懂一二。 在学习知识上面,开始的时候完全是老师讲什么就做什么,感觉速度还是比较快的,跟理论也没什么差距。但是之后就觉得越来越麻烦了。从最开始的误差分析,实验报告写了很多,但是真正掌握的确不多,到最后的回转器,负阻,感觉都是理论没有很好的跟上实践,很多状况下是在实验出现象以后在去想理论。在实验这门课中给我最大的感受就是,必须要先弄清楚原理,在做实验,这样又快又好。 在养成习惯方面,最开始的时候我做实验都是没有什么条理,想到哪里就做到哪里。比如说测量三相电,有很多种状况,有中线,无中线,三角形接线法还是Y形接线法,在这个实验中,如果选取恰当的顺序就能够减少很多接线,做实验就应要有良好的习惯,就应在做实验之前想好这个实验要求什么,有几个步骤,就应怎样安排才最合理,其实这也映射到做事情,不管做什么事情,就应都要想想目的和过程,这样才能高效的完成。电原实验开始的几周上课时间不是很固定,实验报告也累计了很多,第一次感觉有那么多实验报告要写,在交实验报告的前一天很多同学都通宵了的,这说明我们都没有合理的安排好自己的时间,我就应从这件事情中吸取教训,合理安排自己的时间,完成就应完成的学习任务。这学期做的一些实验都需要严谨的态度。在负阻的实验中,我和同组的同学连了两三次才把负阻链接好,又浪费时间,又没有效果,在这个实验中,有很多线,很容易插错,所以要个性仔细。 在最后的综合实验中,我更是受益匪浅。完整的做出了一个红外测量角度的仪器,虽然不是个性准确。我和我组员分工合作,各自完成自己的模块。我负责的是单片机,和数码显示电路。这两块都是比较简单的,但是数码显示个性需要细致,由于我自己是一个粗心的人,所以数码管我检查了很多遍,做了很多无用功。 总结:电路原理实验最后给我留下的是:严谨的学习态度。做什么事情都要认真,争取一次性做好,人生没有太多时间去浪费。 实验报告总结(二): 在分子生物学实验室为期两个月的实习使我受益匪浅,我不仅仅学习到了专业知识,更重要的是收获了经验与体会,这些使我一生受用不尽,记下来与大家共勉:

移动通信原理课程设计_实验报告_321321

电子科技大学 通信抗干扰技术国家级重点实验室 实验报告 课程名称移动通信原理 实验内容无线信道特性分析; BPSK/QPSK通信链路搭建与误码性能分析; SIMO系统性能仿真分析 课程教师胡苏 成员姓名成员学号成员分工 独立完成必做题第二题,参与选做题SIMO仿 真中的最大比值合并模型设计 参与选做题SIMO仿真中的 等增益合并模型设计 独立完成必做题第一题 参与选做题SIMO仿真中的 选择合并模型设计

1,必做题目 1.1无线信道特性分析 1.1.1实验目的 1)了解无线信道各种衰落特性; 2)掌握各种描述无线信道特性参数的物理意义; 3)利用MATLAB中的仿真工具模拟无线信道的衰落特性。 1.1.2实验内容 1)基于simulink搭建一个QPSK发送链路,QPSK调制信号经过了瑞利衰 落信道,观察信号经过衰落前后的星座图,观察信道特性。仿真参数:信源比特速率为500kbps,多径相对时延为[0 4e-06 8e-06 1.2e-05]秒,相对平均功率为[0 -3 -6 -9]dB,最大多普勒频移为200Hz。例如信道设置如下图所示:

1.1.3实验仿真 (1)实验框图 (2)图表及说明 图一:Before Rayleigh Fading1 #上图为QPSK相位图,由图可以看出2比特码元有四种。

图二:After Rayleigh Fading #从上图可以看出,信号通过瑞利信道后,满足瑞利分布,相位和幅度发生随机变化,所以图三中的相位不是集中在四点,而是在四个点附近随机分布。 图三:Impulse Response #从冲激响应的图可以看出相位在时间上发生了偏移。

大学物理实验课程设计实验报告

大学物理实验课程设计实验报告 大学物理实验课程设计实验报告北方民族大学 大学物理实验 实验报告 指导老师:王建明 姓名:张国生 学号:XX0233 学院:信息与计算科学学院 班级:05信计2班 重力加速度的测定

一、实验任务 精确测定银川地区的重力加速度 二、实验要求 测量结果的相对不确定度不超过5% 三、物理模型的建立及比较 初步确定有以下六种模型方案: 方法一、用打点计时器测量 所用仪器为:打点计时器、直尺、带钱夹的铁架台、纸带、夹子、重物、学生电源等. 利用自由落体原理使重物做自由落体运动.选择理想纸带,找出起始点0,数出时间为t的p点,用米尺测出op的距离为h,其中t=秒×两点间隔数.由公式

h=gt2/2得g=2h/t2,将所测代入即可求得g. 方法二、用滴水法测重力加速度 调节水龙头阀门,使水滴按相等时间滴下,用秒表测出n个水滴所用时间t,则每两水滴相隔时间为t′=t/n,用米尺测出水滴下落距离h,由公式h=gt′2/2可得g=2hn2/t2. 方法三、取半径为r的玻璃杯,内装适当的液体,固定在旋转台上.旋转台绕其对称轴以角速度ω匀速旋转,这时液体相对于玻璃杯的形状为旋转抛物面 重力加速度的计算公式推导如下: 取液面上任一液元a,它距转轴为x,质量为m,受重力mg、弹力n.由动力学知: ncosα-mg=0

nsinα=mω2x 两式相比得tgα=ω2x/g,又tgα=dy/dx,∴dy=ω2xdx/g, ∴y/x=ω2x/2g.∴g=ω2x2/2y. .将某点对于对称轴和垂直于对称轴最低点的直角坐标系的坐标x、y测出,将转台转速ω代入即可求得g. 方法四、光电控制计时法 调节水龙头阀门,使水滴按相等时间滴下,用秒表测出n个水滴所用时间t,则每两水滴相隔时间为t′=t/n,用米尺测出水滴下落距离h,由公式h=gt′2/2可得g=2hn2/t2. 方法五、用圆锥摆测量

c++课程设计实验报告.

目录 PART I 1 需求分析....................................................................................................................................................... 2 算法基本原理............................................................................................................................................... 3 类设计............................................................................................................................................................ 4 详细设计........................................................................................................................................................ 4.1 类的接口设计......................................................................................................................................... 4.2 类的实现................................................................................................................................................ 4.3 主函数设计............................................................................................................................................ 5 运行结果与分析........................................................................................................................................... 5.1 程序运行结果......................................................................................................................................... 5.2运行结果分析......................................................................................................................................... 6 参考文献....................................................................................................................................................... PART II 1 问题描述....................................................................................................................................................... 2 功能描述....................................................................................................................................................... 3 需求分析....................................................................................................................................................... 4 概要设计....................................................................................................................................................... 5 详细设计....................................................................................................................................................... 6 设计和调试分析............................................................................................................................................ 7 用户手册....................................................................................................................................................... 8 测试结果....................................................................................................................................................... 9 参考文献.......................................................................................................................................................

相关文档
最新文档