大规模集成电路习题与答案

大规模集成电路习题与答案
大规模集成电路习题与答案

电路性能:

PROBLEM 1. Consider an isolated 2mm long and 1μm wide M1(Metal1)wire over a silicon substrate driven by an inverter that has zero resistance and parasitic output capccitance. How will the wire delay change for the following cases? Explain your reasoning in each case.

a. If the wire width is doubled.

b. If the wire length is halved.

c. If the wire thickness is double

d.

d. If thickness of the oxide between the M1 and the substrate is doubled. PROBLEM 2. A two-stage buffer is used to drive a metal wire of 1 cm. The first inverter is of minimum size with an input capacitance C i=10 fF and an internal

propagation delay t p0=50 ps and load dependent delay of 5ps/fF. The width of the metal wire is 3.6 μm. The sheet resistance of the metal is 0.08 Ω, the capacitance value is 0.03 fF/μm2 and the fringing field capacitance is

0.04fF/μm.

a. What is the propagation delay of the metal wire?

b. Compute the optimal size of the second inverter. What is the minimum delay through the buffer?

PROBLEM 3. An NMOS transistor is used to charge a large capacitor, as shown the following Figure. The minimum size device, (0.25/0.25) for NMOS and (0.75/0.25) for PMOS, has the on resistance 35 kΩ.

a. Determine the t pLH of this circuit, assuming an ideal step from 0 to 2.5V at the input node.

b. Assume that a resistor R S of 5 kΩ is used to discharge the capacitance to

ground. Determine t pHL.

c. The NMOS transistor is replaced by a PMOS device, sized so that k p is equal to the k n of the original NMOS. Will the resulting structure be faster? Explain why or why not.

PROBLEM 4.The figure below assembles a RTL circuit where the active device is a NMOS transistor which has a resistive load. Assume the switch model behavior of the NMOS transistor. When V in <1.25V, the resistance of the transistor is infinite. When V in ≥1.25V, the transistor can be modeled as having a resistance of 150 ohms.

A. Determine the values for V OH and V OL . Explain your answer.

B. Calculate t pLH and t pHL to obtain the average propagation delay, t p .

Solution:

Vin 50fF

PROBLEM 5. The next figure shows two implementations of MOS inverters. The first inverter uses only NMOS transistors.

a. Calculate V OH, V OL, V th for each case.

b. Find V IH, V IL, N ML and N MH for each inverter and comment on the results. How can you increase the noise margins and reduce the undefined region? 0.25um CMOS工艺(L=Lmin) MOS管参数

Problem 6: We want to design a minimum sized CMOS inverter with 0.25um process( =0.12um). The minimum sized NMOS transistor ’s layers are listed and shown below in Figure below.

A. Determine and list the following:

a. Minimum Transistor Length

b. Minimum Transistor Width

c. Minimum Source/Drain Area

d. Minimum Source/Drain Perimeter

Please list the design rules you come across that lead to your results.

B. We desire the minimum sized CMOS inverter with a symmetrical VTC (V Th =V DD /2) in the 0.25um technology. Calculate the following for the pull-up PMOS transistor in the design.

a. Minimum Transistor Length

b. Minimum Transistor Width

c. Minimum Source/Drain Area

d. Minimum Source/Drain Perimeter

Assume the following:

V DD = 2.5V, and refer to the tables in the below.

C. Using the same minimum size inverter from part B, determine the input capacitance (i.e. the load it presents when driven) and the total load capacitance that the inverter presents.

D. Calculate t pLH and t pHL to obtain the average propagation delay, t p .

Rules are:

i) Poly minimum width = 0.24um

ii) Minimum active width = 0.36um

iii) Minimum contact size = 0.24um*0.24um

iv) Minimum spacing from contact to gate = 0.24um

v) Active enclosure of contact = 0.12um

Answer:

A:

a. L = 0.24um

b. W = 0.48um

c. L drain = 0.24um+0.24um+0.12um = 0.6um

A D =A S = 0.48 * 0.6um = 0.288 um 2

d. P D =P S =0.6um*2+0.48um = 1.68um

B:

2

n T0,Th Th p T0,DD p n R R R p T0,DD n T0,Th V V V V V k k 得出k k 11k 1)V (V V V ???? ??--+==+

?

++=

查表得出一下参数:

V T0p = -0.43V V T0n = 0.4V K n ’=115×10-6 A/V 2 K p ’=30×10-6

A/V 2 另:L=0.24um, W n =0.48um

带入上述公式计算得出:K R =0.965 W p =1.907um

We assume u n =2.5u p and can calculate

a. Lp=0.24μm

b. W p = 1.907 μm

c. A D = 1.907μm *0.6μm =1.1442 μm 2

d. P D = 2*0.6μm +1.2μm =3.107 μm

C:

NMOS:

C gn = C ox L n W n = 0.6912 fF

NMOS 管衬底接0V ,输出从1→0(V 1=-2.5V 变为V 2=-1.25V):

()()()[]()()()[]

0.615264fF

C C C fF

0.2869940.61281.68K C P C 0.44m 0.61V φV φm)(1V V φK fF 32832057022880K C A C 0.5m 0.57V φV φm)

(1V V φK dbsw db dbn1eqsw j D dbsw m 11bsw m 12bsw 12m bsw eq eq j D db m 11b m 12b 12m b eq =+==??====---?----==??====---?----=----.0侧壁:...底部:

输出从0→1(V 1=0V 变为V 2=-1.25V):

()()()[]()()()[]

fF

0.836064C C C fF

0.3810240.81281.68K C P C 0.44m 0.81V φV φm)(1V V φK fF 0.455040.7920.288K C A C 0.5m 0.79V φV φm)

(1V V φK dbsw db dbn2eqsw j D dbsw m 11bsw m 12bsw 12m bsw eq eq j D db m 11b m 12b 12m b eq =+==??====---?----==??====---?----=----.0侧壁:底部:

PMOS:

C gp = C ox L p W p ) =2.74608 fF

PMOS 管衬底接2.5V ,输出从1→0(V 1= 0V 变为V 2=-1.25V):

()()()[]()()()[]

fF

2C C C fF

0.58784440.8622K C P C 0.32m 0.86V φV φm)(1V V φK fF 10.7911K C A C 0.48m 0.79V φV φm)

(1V V φK dbsw db dbp1eqsw j D dbsw m 11bsw m 12bsw 12m bsw eqsw eq j D db m 11b m 12b 12m b eq 3052886..0109.3侧壁:7174442.9.1442.=+==??====---?----==??====---?----=----底部:

输出从0→1(V 1=-1.25V 变为V 2= -2.5V):

()()()[]()()()[]

fF

1.7614342C C C fF

0.4787860.70.223K C P C 0.32m 0.7V φV φm)(1V V φK fF 10.591.91K C A C 0.48m 0.59V φV φm)

(1V V φK dbsw db dbp2eqsw j D dbsw m 11bsw m 12bsw 12m bsw eqsw eq j D db m 11b m 12b 12m b eq =+==??====---?----==??====---?----=----109.侧壁:2826482.1442.底部:

如果m 以0.5计算:

NMOS 管衬底接0V ,输出从1→0(V 1=-2.5V 变为V 2=-1.25V):

()()()[]

0.596448fF

C C C fF

0.268120.57281.68K C P C fF 32832057022880K C A C 0.57V φV φm)

(1V V φK K dbsw db dbn1eqsw j D dbsw eq j D db m 11b m 12b 12m b eqsw eq =+==??===??===---?----==--.0...底部: 输出从0→1(V 1=0V 变为V 2=-1.25V):

()()()[]

fF

0.826656C C C fF

0.3716160.79281.68K C P C fF

0.455040.7920.288K C A C 0.79V φV φm)(1V V φK K dbsw db dbn2eqsw j D dbsw eq j D db m 11b m 12b 12m b eqsw eq =+==??===??===---?----==--.0底部:

PMOS 管衬底接2.5V ,输出从1→0(V 1= 0V 变为V 2=-1.25V):

()()()[]

fF 2C C C fF

0.54034420.7922K C P C fF

1.71744420.79911K C A C 0.79V φV φm)(1V V φK K dbsw db dbp1eqsw j D dbsw eq j D db m 11b m 12b 12m b eqsw eq 2577884..0109.3.144

2.=+==??===??===---?----==--底部:

输出从0→1(V 1=-1.25V 变为V 2= -2.5V):

()()()[]

fF 1.6290372C C C fF

0.38986860.570.22K C P C fF

10.571.91K C A C 0.57V φV φm)(1V V φK K dbsw db dbp2eqsw j D dbsw eq j D db m 11b m 12b 12m b eqsw eq =+==??===??===---?----==--109.32391686.1442.底部:

D :

C load 计算:

C load =C wire +C g +C gd,n +C gd,p +C db,n +C db,p≈C g +C db,n +C db,p

C g = C gn + C gp =0.6912+2.74608=3.43728 fF

输出从1→0(V 1= 0V 变为V 2=-1.25V):

C load≈C g +C db,n +C db,p =6.3578326 fF

16.32ps

1V )V 4(V ln V V 2V )V (V k C A/V 10230k L W k DD T0n DD T0n DD T0n T0n DD n load PHL 2

6'n n

n n =??

???????? ??--+--=?=?=-τ 输出从0→1(V 1=-1.25V 变为V 2= -2.5V):

C load≈C g +C db,n +C db,p =6.0347782 fF

15.33ps 1V )V 4(V ln V V V 2)V (V k C A/V 10238.375k L W k DD T0p DD T0p

DD T0p T0p

DD p load PLH 26'p p p p =???????? ??--+????--=?=?=

如果以m=0.5,则:

输出从1→0(V 1= 0V 变为V 2=-1.25V):

C load≈C g +C db,n +C db,p =6.2915162 fF

16.147ps

1V )V 4(V ln V V 2V )V (V k C DD T0n DD T0n DD T0n T0n DD n load PHL =??

???????? ??--+--=τ 输出从0→1(V 1=-1.25V 变为V 2= -2.5V):

C load≈C g +C db,n +C db,p =5.8929732 fF

ps 1V )V 4(V ln V V V 2)V (V k C DD T0p DD T0p DD T0p T0p

DD p load PLH 97.14=???

????? ??--+????--=τ PROBLEM 7.We want to design a minimum sized CMOS inverter with 0.25um process(λ=0.12um) and desire the inverter with a symmetrical VTC (V Th =V DD /2) . The minimum sized NMOS transistor’s layers are shown as problem 6. Assume the following:

V DD = 2.5V, and refer to the tables in the below.

A. Determine the input capacitance (i.e. the load it presents when driven) and the total load capacitance that the inverter presents.

B. Calculate t pLH and t pHL to obtain the average propagation delay, t p .

PROBLEM 8. Sizing a chain of inverters.

a. In order to drive a large capacitance (CL = 20 pF) from a minimum size gate (with input capacitance Ci = 10fF), you decide to introduce a two-staged buffer as shown in the following figure. Assume that the propagation delay of a minimum size inverter is 70 ps. Also assumethat the input capacitance of a gate is proportional to its size. Determine the sizing of the two additional buffer stages that will minimize the propagation delay.

b. If you could add any number of stages to achieve the minimum delay, how many stages would you insert?What is the propagation delay in this case?

c. Describe the advantages and disadvantages of the methods shown in (a) and (b).

PROBLEM 9. Consider a CMOS inverter with the following parameters:

V T0,n=1.0V V T0,p=-1.2V μn C ox=45uA/V2

μp C ox=25uA/V2 (W/L)n=10 (W/L)p=20

The power supply voltage is 5V, and the output load capacitance is 1.5pF.

a. Calculate the rise time and the fall time of the output signal using average current method.

b. Determine the maximum frequency of a periodic square-wave input signal so that the output voltage can still exhibit a full logic swing from 0V to 5V in each cycle.

c. Calculate the dynamic power dissipation at this frequency.

d. Assume that the output load capacitance is mainly dominated by fixed

fan-out component( which are independent of W n and W p). We want to

re-design the inverter so that the propagation delay times are reduced by 25%. Determine the required channel dimensions of the nMOS and the pMOS transistors. How does this re-design influence the switching (inversion) threshold?

PROBLEM 10. Consider the following low swing driver consisting of NMOS devi ces M1 and M2. Assume that the inputs IN and IN’ have a 0V to 2.5V swing and that V IN = 0V when V IN’ = 2.5V and vice-versa. Also assume that

there is no skew between IN and IN’ (i.e., the inverter delay to derive IN from IN is zero).

a. What voltage is the bulk terminal of M2 connected to?

b. What is the voltage swing on the output node as the inputs swing from 0V to

2.5V. Show the low value and the high value.

c. Assume that the inputs IN and IN have zero rise and fall times. Assume a zero skew between IN and IN’. Determine the low to high propagation delay for charging the output node measured from the the 50% point of the input to the 50% point of the output. Assume that the total load capacitance is 1pF, including the transistor parasitics.

MOS管参数参照题4。

Logic Styles:

Problem 1: Consider the circuit of following.

a. What is the logic function implemented by the CMOS transistor network? Size the NMOS and PMOS devices so that the output resistance is the same as that of an inverter with an NMOS W/L = 4 and PMOS W/L = 8. Solution:

b. What are the input patterns that give the worst case t pHL and t pLH. State clearly what are the initial input patterns and which input(s) has to make a transition in order to achieve this maximum propagation delay. Consider the effect of the capacitances at the internal nodes.

Solution:

The worst case t pHL happens when the internal node capacitances (Cx2 and Cx3) are charged before the high to low transition. The initial states that can cause this are: ABCD=[1010, 1110, 0110]. The final state is one of: ABCD=[1011, 0111].

The worst case t pLH happens when Cx1 is discharged before the low to high transition. The input pattern that can cause this is: ABCD=[0111] =>[0011]. Problem 2: A complex logic gate is shown in the following.

a. Write the Boolean equations for outputs F and G. What function does this circuit implement?

Solution: B

F+

=

=

A

+

A

A

B

AB

G

B

b. What logic family does this circuit belong to?

Solution: CVSL

Problem 3: Logic Styles

a. What is the function of the circuit in this figure ?

Solution:

??

b) What is the logic function performed by the dynamic gate shown below?

Solution: B A out +=

c) What is the logic function of the following Dynamic gate?

Solution: )(C B A Y +=

d) What is the logic function of the following CPL gate?

Solution: A B C D Q A B C D Q ==

Problem 4: Logic Styles a) Implement the function D)E (C AB F ++= with a complex static

CMOS gate. You should arrange your gate to minimize the delay from the E input, and so that the worst-case pull up resistance is equal to the worst-case pulldown resistance.

Solution: 不只一种答案

b) Design a 4 input multiplexer (see the truth-table below for its fuction) in the complementary pass-transistor logic style using a minimum number of transistors.

Solution:

Problem 5: Sequential circuits.

a) Would the sequential circuit from the figure above be considered a latch, a master-slave latch pair or a pulse-triggered latch? Briefly explain your answer. Solution:

b) Draw the timing waveforms for this circuit.

c) Redesign this circuit, such that it also implements an OR function of the inputs.

Problem 6: Sequential circuits.

a) Would the sequential circuit from the figure above be considered a latch, a master-slave latch pair or a pulse-triggered latch? Briefly explain your answer.

b) All transistors in this circuit are unit-sized, with equivalent resistances R and gate capacitances C (ignore diffusion capacitances). Calculate the propagation delay t Clk-Q for high-to-low and low-to-high transitions. Load on the output Q is equal 12C. Ignore the signal slopes in delay calculation.

Solution: A pulse-triggered latch

Problem 7: Sequential Elements

In this problem we will be examining the latch shown below, which has been implemented out of a tri-state inverter. Throughout this problem, you can assume that V DD = 2.5V, C G= 2fF/μm, C D= 2fF/μm,

and R sqn = R sqp/2 = 15k?/□.

a) Assuming the latch is ideal (i.e., has no delay,zero setup/hold time, etc),fill in the wave for Q given the clock and inputs shown below.

Solution:

b) For C L=50fF and assuming Q is initially charged to V DD and y is initially 0V, what is the t clk-q of this latch when D=1? You should assume that clk is a ramp. Solution: t clk-q=232.5ps

c) One of your fellow designers comes to you one day and says that when she used this latch in her circuit with C L = 5fF, the latch failed to function correctly. More specifically, if D transitioned, the inverter receiving the output of the latch would transition even when clk was low. However, you have verified that the latch functions correctly when C L = 50fF. What is the cause of the error when the latch has a small C L? (Hint: Think about the second transition of D) Solution: Charge share

d) What is the minimum load capacitance required for the latch to function correctly? You can assume that the inverter receiving the output of the latch has an ideal VTC with a switch point V th = V DD/2, and that none of the transistors’ source/drain regions have been shared.

Solution: C L>12fF

e) Other than artificially increasing C L, how can you modify the latch to fix the problem you identified in part c)? You should explain your fix and draw a

new transistor-level schematic of the latch (no sizing necessary). (Note that there is more than one possible fix – you will receive bonus credit for up to two

additional fixes you identify and draw.) Solution:

Problem 8: Sequential Elements

Solution:

Solution:

Design for speed:

Problem 1. A domino buffer is shown above. All transistors are sized at minimum length. The widths of the transistors have been labeled on the diagram. Capacitances can be calculated as 2fF/um. V DD =2.5V. M2M3M1VDD

clk in clk out

2um

2um

1um

PMOS: 4um

NMOS: 1um

a) Find the logical effort of this domino buffer during the evaluation phase. Solution: 9

521==g g G .

b) Assume the output node is connected to a load C L =50fF. Calculate the

delay (during the evaluation phase) from input to output in terms of tp 0, the intrinsic delay of a minimum symmetrically sized inverter.

Solution: 0067.7)(p i i i p p t p f g t t =+=∑

.

c) A keeper PMOS is M4 added to the circuit as shown below. Find the

maximum size of M4 for proper operation of this domino buffer. You can ignore body effect. Use the parameters as below. M2

M3M1VDD

clk in clk out

2um

2um 1um PMOS: 4um NMOS: 1um M4

x

Solution: M1 and M3 are in series. They can be combined into an equivalent 1um wide NMOS transistor. To guarantee the proper operation of this domino buffer, M1 and M3 should be able to pull the intermediate node x below V Tn . (It is also ok if students assume x is pulled below V M of the skewed inverter, though it is safer to pull x below V Tn .) Assume x = V Tn . A current equation can be set up to find out the maximum size of the M4.

m w M μ91.14=.

Problem2. Assume the inverters are implemented in standard CMOS with symmetrical VTC. Furthermore, assume C intrinsic = C gate . Equivalent resistance and input capacitance of unit-sized inverter are R and C , respectively. Sizing factor S ≥ 1.

a. For inverters in Fig. 2a, pick the best sizing factors S 2 and S 3 to minimize propagation delay.

What is the minimum delay (t p 0 is the delay of unit-sized inverter)?

Solution:

S 2 = 3, S 3 = 9 t p = 3t p,stage = 3t p 0·(1 + f opt ) = 12t p 0 (1pt)

b. What is the delay (in terms of t p 0) of the circuit in Fig. 2b?

Solution: t p = 18.4t p 0

c. Assume you can choose the sizing S 2 and S 4 for inverters in Fig. 2c. What are the optimal values for minimum delay? What is the delay (expressed in terms of t p 0)?

《数字集成电路基础》试题C

《数字集成电路基础》试题C (考试时间:120分钟) 班级:姓名:学号:成绩: 一、填空题(共30分) 1.三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结____,集电 结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2.把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻辑赋 值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3.四位二进制编码器有____个输入端;____个输出端。 4.将十进制数287转换成二进制数是________;十六进制数是_____ __。 5.根据触发器功能的不同,可将触发器分成四种,分别是____触发器、___ _触发器、____触发器和____触发器。 3=______。 A.发射结和集电结均处于反向偏置 B.发射结正向偏置,集电结反向偏置 C.发射结和集电结均处于正向偏置 2.在下列三个逻辑函数表达式中,____是最小项表达式。 A.B C ) A BC ,B ,A = + Y+ ( A B B ) A B ,A ( C B = B. C Y+ A

C. C AB ABC B C A C B A )D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

集成电路设计基础作业题解答

第五次作业 、改正图题所示TTL 电路的错误。 如下图所示: 解答: (a)、B A B A Y ??=?=0,A,B 与非输出接基极,Q 的发射极接地。从逻辑上把Q 管看作单管禁止门便可得到B A Y ?=。逻辑没有错误! 若按照题干中所示接法,当TTL 与非门输出高电平时,晶体管Q 的发射结要承受高压,必然产生巨大的电流。为了不出现这种情况,可以在基极加一电阻或者在发射极加一二极管。但发射极加二极管后会抬高输出的低电平电压。所以只能在基极加一大电阻,实现分压作用。 另外一种方法是采用题(a )图中的A 输入单元结构。 & & ≥1 1 1

(b)、要实现由,我们可以使用线与+得到和B A B A 。但题干中的线与功能不合理。若其中一个为高电平且另外一个为低电平时,高电平输出降会往低电平输出灌电流,从而容易引起逻辑电平混乱。为了消除这一效应,可以在各自的输出加一个二极管。 (c)、电阻不应该接地,应该接高电平 (d)、电阻不应该接VCC ,而应该接低电平 、试分析图题(a ),(b)所示电路的逻辑功能。 解答: 图(a )中,单元1实现了A 的电平输入,B 是A 的对称单元。 功能单元2实现了A 和B 输入的或逻辑 功能单元4充当了Q8管的泄放网络,同时抬高了Q3,Q4管的输入逻辑电平,另外该单元还将或的结果传递给了Q8管 功能单元3中的Q8管实现了非逻辑,Q6和Q7复合管加强了输出级的驱动能力。 综上所述,(a )电路实现功能为B A Y +=,即或非的功能 图(b)中,Q1,Q2管依然实现传递输入的功能,Q3,Q4管实现或非的功能 Q6管和Q5管以及R5,R7共同组成的泄放网络实现了电压的传递 Q9管实现了非功能,Q7,Q8管依然是用来驱动负载的。Q9管和Q7,Q8轮流导通 综上所述,(b )实现的功能为B A B A Y +=+=

《半导体集成电路》考试题目及参考答案

第一部分考试试题 第0章绪论 1.什么叫半导体集成电路? 2.按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写? 3.按照器件类型分,半导体集成电路分为哪几类? 4.按电路功能或信号类型分,半导体集成电路分为哪几类? 5.什么是特征尺寸?它对集成电路工艺有何影响? 6.名词解释:集成度、wafer size、die size、摩尔定律? 第1章集成电路的基本制造工艺 1.四层三结的结构的双极型晶体管中隐埋层的作用? 2.在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响?。 3.简单叙述一下pn结隔离的NPN晶体管的光刻步骤? 4.简述硅栅p阱CMOS的光刻步骤? 5.以p阱CMOS工艺为基础的BiCMOS的有哪些不足? 6.以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法。 7. 请画出NPN晶体管的版图,并且标注各层掺杂区域类型。 8.请画出CMOS反相器的版图,并标注各层掺杂类型和输入输出端子。 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应? 4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路 1.名词解释

集成电路制造技术-原理与工艺 课后习题答案

第一单元: 3.比较硅单晶锭CZ,MCZ和FZ三种生长方法的优缺点。 答:CZ直拉法工艺成熟,可拉出大直径硅棒,是目前采用最多的硅棒生产方法。但直拉法中会使用到坩埚,而坩埚的使用会带来污染。同时在坩埚中,会有自然对流存在,导致生长条纹和氧的引入。直拉法生长多是采用液相掺杂,受杂质分凝、杂质蒸发,以及坩埚污染影响大,因此,直拉法生长的单晶硅掺杂浓度的均匀性较差。 MCZ磁控直拉法,在CZ法单晶炉上加一强磁场,高传导熔体硅的流动因切割磁力线而产生洛仑兹力,这相当于增强了熔体的粘性,熔体对流受阻。能生长无氧、均匀好的大直径单晶硅棒。设备较直拉法设备复杂得多,造价也高得多,强磁场的存在使得生产成本也大幅提高。 FZ悬浮区熔法,多晶与单晶均由夹具夹着,由高频加热器产生一悬浮的溶区,多晶硅连续通过熔区熔融,在熔区与单晶接触的界面处生长单晶。与直拉法相比,去掉了坩埚,没有坩埚的污染,因此能生长出无氧的,纯度更高的单晶硅棒。 6.硅气相外延工艺采用的衬底不是准确的晶向,通常偏离[100]或[111]等晶向一个小角度,为什么? 答:在外延生长过程中,外延气体进入反应器,气体中的反应剂气相输运到衬底,在高温衬底上发生化学反应,生成的外延物质沿着衬底晶向规则地排列,生长出外延层。 气相外延是由外延气体的气相质量传递和表面外延两个过程完成的。表面外延过程实质上包含了吸附、分解、迁移、解吸这几个环节,表面过程表明外延生长是横向进行的,是在衬底台阶的结点位置发生的。因此,在将硅锭切片制备外延衬底时,一般硅片都应偏离主晶面一个小角度。目的是为了得到原子层台阶和结点位置,以利于表面外延生长。 7. 外延层杂质的分布主要受哪几种因素影响? 答:杂质掺杂效率不仅依赖于外延温度、生长速率、气流中掺杂剂的摩尔分数、反应室的几何形状等因素,还依赖于掺杂剂自身的特性。另外,影响掺杂效率的因素还有衬底的取向和外延层结晶质量。硅的气相外延工艺中,在外延过程中,衬底和外延层之间存在杂质交换现象,即会出现杂质的再分布现象,主要有自掺杂效应和互扩散效应两种现象引起。

常用集成电路的型号及功能说明

型号功能 ACP2371NI 多制式数字音频信号处理电路ACVP2205 梳状滤波、视频信号处理电路 AN5071 波段转换控制电路 AN5195K 子图像信号处理电路 AN5265 伴音功率放大电路 AN5274 伴音功率放大电路 AN5285K 伴音前置放大电路 AN5342K 图像水平轮廓校正、扫描速度调制电路AN5348K AI信号处理电路 AN5521 场扫描输出电路 AN5551 枕形失真校正电路 AN5560 50/60Hz场频自动识别电路 AN5612 色差、基色信号变换电路 AN5836 双声道前置放大及控制电路 AN5858K TV/AV切换电路 AN5862K(AN5862S) 视频模拟开关 AN5891K 音频信号处理电路 AT24C02 2线电可擦、可编程只读存储器 AT24C04 2线电可擦、可编程只读存储器 AT24C08 2线电可擦、可编程只读存储器 ATQ203 扬声器切换继电器电路 BA3880S 高分辨率音频信号处理电路 BA3884S 高分辨率音频信号处理电路 BA4558N 双运算放大器 BA7604N 梳状切换开关电路 BU9252S 8bitA/D转换电路 CAT24C16 2线电可擦、可编程只读存储器 CCU-FDTV 微处理器 CCU-FDTV-06 微处理器 CD54573A/CD54573CS 波段转换控制电路 CH0403-5H61 微处理器 CH04801-5F43 微处理器 CH05001(PCA84C841) 微处理器 CH05002 微处理器 CH7001C 数字NTSC/PAL编码电路 CHT0406 微处理器 CHT0803(TMP87CP38N*) 8bit微处理器 CHT0807(TMP87CP38N) 8bit微处理器 CHT0808(TMP87CP38N) 8bit微处理器 CHT0818 微处理器 CKP1003C 微处理器 CKP1004S(TMP87CK38N) 微处理器 CKP1006S(TMP87CH38N) 微处理器

数字集成电路设计笔记归纳资料.doc

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH GS V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=),即载流子 迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<) ,c sat μξυυ==(c ξξ≥),出现饱和速度时的漏源电压DSAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

集成电路功能

M11B416256A 存储集成电路 M1418VVW 微处理集成电路 M2063SP 制式转换集成电路 M208 系统控制集成电路 M24C08 存储集成电路 M24C128-WMN6 存储集成电路 M27V201-200N6 中文字库集成电路 M28F101AVPAD 存储集成电路 M3004LAB1 红外遥控信号发射集成电路M32L1632512A 存储集成电路 M34300-012SP 微处理集成电路 M34300-628SP 微处理集成电路 M34300M4-012SP 微处理集成电路 M34300N4-011SP 微处理集成电路 M34300N4-012SP 微处理集成电路 M34300N4-555SP 微处理集成电路 M34300N4-567SP 微处理集成电路 M34300N4-584SP 微处理集成电路 M34300N4-587SP 微处理集成电路 M34300N4-628SP 微处理集成电路 M34300N4-629SP 微处理集成电路 M34300N4-657SP 微处理集成电路 M34302M8-612SP 微处理集成电路 M37100M8-616SP 微处理集成电路 M37102M8-503SP 微处理集成电路 M37103M4-750SP 微处理集成电路 M37201M6 微处理集成电路 M37204M8-852SP 微处理集成电路 M37210M2-609SP 微处理集成电路 M37210M3-010SP 微处理集成电路 M37210M3-550SP 微处理集成电路 M37210M3-603SP 微处理集成电路 M37210M3-800SP 微处理集成电路 M37210M3-901SP 微处理集成电路 M37210M3-902SP 微处理集成电路 M37210M4-650SP 微处理集成电路 M37210M4-688微处理集成电路 M37210M4-705SP 微处理集成电路 M37210M4-786SP 微处理集成电路 M37211M2-604SP 微处理集成电路 M37211M2-609SP 微处理集成电路 M37220M3 微处理集成电路 M37221 微处理集成电路 M37221M6-065SP 微处理集成电路

半导体集成电路习题及答案

第1章 集成电路的基本制造工艺 1.6 一般TTL 集成电路与集成运算放大器电路在选择外延层电阻率上有何区别?为什么? 答:集成运算放大器电路的外延层电阻率比一般TTL 集成电路的外延层电阻率高。 第2章 集成电路中的晶体管及其寄生效应 复 习 思 考 题 2.2 利用截锥体电阻公式,计算TTL “与非”门输出管的CS r 2.2 所示。 提示:先求截锥体的高度 up BL epi mc jc epi T x x T T -----= 然后利用公式: b a a b WL T r c -? = /ln 1ρ , 2 1 2?? =--BL C E BL S C W L R r b a a b WL T r c -? = /ln 3ρ 321C C C CS r r r r ++= 注意:在计算W 、L 时, 应考虑横向扩散。 2.3 伴随一个横向PNP 器件产生两个寄生的PNP 晶体管,试问当横向PNP 器件在4种可能 的偏置情况下,哪一种偏置会使得寄生晶体管的影响最大? 答:当横向PNP 管处于饱和状态时,会使得寄生晶体管的影响最大。 2.8 试设计一个单基极、单发射极和单集电极的输出晶体管,要求其在20mA 的电流负载下 ,OL V ≤0.4V ,请在坐标纸上放大500倍画出其版图。给出设计条件如下: 答: 解题思路 ⑴由0I 、α求有效发射区周长Eeff L ; ⑵由设计条件画图 ①先画发射区引线孔; ②由孔四边各距A D 画出发射区扩散孔; ③由A D 先画出基区扩散孔的三边; ④由B E D -画出基区引线孔; ⑤由A D 画出基区扩散孔的另一边;

⑥由A D 先画出外延岛的三边; ⑦由C B D -画出集电极接触孔; ⑧由A D 画出外延岛的另一边; ⑨由I d 画出隔离槽的四周; ⑩验证所画晶体管的CS r 是否满足V V OL 4.0≤的条件,若不满足,则要对所作 的图进行修正,直至满足V V OL 4.0≤的条件。(CS C OL r I V V 00 ES += 及己知 V V C 05.00ES =) 第3章 集成电路中的无源元件 复 习 思 考 题 3.3 设计一个4k Ω的基区扩散电阻及其版图。 试求: (1) 可取的电阻最小线宽min R W =?你取多少? 答:12μm (2) 粗估一下电阻长度,根据隔离框面积该电阻至少要几个弯头? 答:一个弯头 第4章 晶体管 (TTL)电路 复 习 思 考 题 4.4 某个TTL 与非门的输出低电平测试结果为 OL V =1V 。试问这个器件合格吗?上 机使用时有什么问题? 答:不合格。 4.5 试分析图题4.5所示STTL 电路在导通态和截止态时各节点的电压和电流,假定各管的 β=20, BEF V 和一般NPN 管相同, BCF V =0.55V , CES V =0.4~0.5V , 1 CES V =0.1~0.2V 。 答:(1)导通态(输出为低电平) V V B 1.21= , V V B 55.12= ,V V B 2.13= ,V V B 5.04= ,V V B 8.05= ,

1篇3章习题解答浙大版集成电路课后答案

第三章场效应晶体管及其电路分析 题1.3.1绝缘栅场效应管漏极特性曲线如图题1.3.1(a)~(d)所示。 (1)说明图(a)~(d)曲线对应何种类型的场效应管。 (2)根据图中曲线粗略地估计:开启电压V T、夹断电压V P和饱和漏极电流I DSS或I DO 的数值。 图题1.3.1 解:图(a):增强型N沟道MOS管,V GS(th)≈3V,I DO≈3mA; 图(b):增强型P沟道MOS管,V GS(th)≈-2V,I DO≈2mA; 图(c):耗尽型型P沟道MOS管,V GS(off)≈2V,I DSS≈2mA; 图(d):耗尽型型N沟道MOS管,V GS(off)≈-3V,I DSS≈3mA。 题1.3.2 场效应管漏极特性曲线同图题1.3.1(a)~(d)所示。分别画出各种管子对应的转移特性曲线i D=f(v GS)。 解:在漏极特性上某一V DS下作一直线,该直线与每条输出特性的交点决定了V GS和I D的大小,逐点作出,连接成曲线,就是管子的转移特性了,分别如图1.3.2所示。 图1.3.2 题1.3.3 图题1.3.3所示为场效应管的转移特性曲线。试问:

图题1.3.3 (1) I DSS 、V P 值为多大? (2) 根据给定曲线,估算当i D =1.5mA 和i D =3.9mA 时,g m 约为多少? (3) 根据g m 的定义:GS D m dv di g ,计算v GS = -1V 和v GS = -3V 时相对应的g m 值。 解: (1) I DSS =5.5mA ,V GS(off)=-5V ; (2) I D =1.5mA 时,g m ≈0.88ms ,I D =3.9mA 时,g m ≈1.76ms ; (3) v GS =-1V 时,g m ≈0.88ms ,v GS =-3V 时,g m ≈1.76ms 。 题1.3.4 由晶体管特性图示仪测得场效应管T 1和T 2各具有图题1.3.4的(a )和(b )所示的输出 特性曲线,试判断它们的类型,并粗略地估计V P 或V T 值,以及v DS =5V 时的I DSS 或 I DO 值。 图题1.3.4 解: 图(a):耗尽型PMOS 管,V GS(off)=3V ;当V DS =5V 时,I DSS =2mA ; 图(b):增强型PMOS 管,V GS(th)=-4V ;当V DS =5V 时,I DO ≈1.8mA 。 题1.3.5 某MOS 场效应的漏极特性如图题1.3.5所示。试画出v DS =9V 时的转移特性曲线,并定性分析跨导g m 与I D 的关系。 图题1.3.5

数字集成电路必备考前复习总结

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路 或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦 之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys版权): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS 工艺流程。简述CMOS 集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18 指的是什么?简述CMOS 工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx其中,x为4位二进制整数输入信号。y 为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis 到tape out 之间的设计flow ,并列出其中各步使用的tool. 10、简述FPGA 等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS 电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA

《数字集成电路》期末试卷(含答案)

浙江工业大学 / 学年第一学期 《数字电路和数字逻辑》期终考试试卷 A 姓名 学号 班级 任课教师 一、填空题(本大题共10小题,每空格1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.十进制数(68)10对应的二进制数等于 ; 2.描述组合逻辑电路逻辑功能的方法有真值表、逻辑函数、卡诺图、逻辑电路图、波形图和硬件描述语言(HDL )法等,其中 描述法是基础且最直接。 3.1 A ⊕可以简化为 。 4.图1所示逻辑电路对应的逻辑函数L 等于 。 A B L ≥1 & C Y C 图1 图2 5.如图2所示,当输入C 是(高电平,低电平) 时,AB Y =。 6.两输入端TTL 与非门的输出逻辑函数AB Z =,当A =B =1时,输出低电平且V Z =0.3V ,当该与非门加上负载后,输出电压将(增大,减小) 。 7.Moore 型时序电路和Mealy 型时序电路相比, 型电路的抗干扰能力更强。 8.与同步时序电路相比,异步时序电路的最大缺陷是会产生 状态。 9.JK 触发器的功能有置0、置1、保持和 。 10.现有容量为210×4位的SRAM2114,若要将其容量扩展成211×8位,则需要 片这样 的RAM 。 二、选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.十进制数(172)10对应的8421BCD 编码是 。 【 】 A .(1111010)8421BCD B .(10111010)8421BCD C .(000101110010)8421BC D D .(101110010)8421BCD 12.逻辑函数AC B A C B A Z +=),,(包含 个最小项。 【 】

集成电路设计习题答案-章 精品

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义? MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识? 系统,电路,工具,工艺方面的知识 CH2 1.为什么硅材料在集成电路技术中起着举足轻重的作用? 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点? P10,11 3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触? 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH3 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子束

《数字集成电路基础》试题D

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于_ _____偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个 输入端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .( )()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 1 A B 3

4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++? 四、分析设计题 (共 30分)

集成电路作业

1、集成电路制造工艺发展水平的衡量指标是什么? a. 在设计和生产中可达到的最小线宽(或称特征尺寸L)。 b. 所使用的硅晶圆片的直径。 c. DRAM的储存容量。 2、简述电路制造工艺的发展趋势。 a.趋势性的变化越来越明显,速度越来越快。 特征尺寸越来越小,电源电压越来越低,芯片尺寸越来越大,布线层数越来越多,单片上的晶体管数越来越多,I/O引线越来越多,时钟速度越来越快 b.集成电路朝着两个方向发展。 c.从另一个角度来说,进入90年代以来,电子信息类产品的开发明显地出现了两个 特点。 3、简述集成电路产业结构经历的三次重大变革。 第一次变革是以加工制造为主导的初级阶段 a.这一时期半导体制造在IC产业中充当主角。 b.这一时期IC设计和半导体工艺密切相关且主要以人工为主。 第二次变革以Foundry和IC设计公司的崛起为标志 a.集成电路产业的一次大分工。 b.IC产业进入了以客户为导向的阶段。 c.EDA工具的发展,使IC设计工程可以独立于生产工艺。 第三次变革以设计、制造、封装和测试四业分离为标志 a.集成电路产业的又一次大分工。 b.IC产业进入了以竞争为导向的高级阶段。 c.系统设计与IP核设计逐渐开始分工。 4、1个门相当于几个晶体管?以门的数量来划分集成电路有哪些分类? 1个门相当于4个晶体管,等效于一个二输入与非门 a. 小规模集成电路(SSI:Small Scale Integrated Circuit)>1-10个门,基本的与非和或非逻辑。 b. 中规模集成电路(MSI: Middle Scale Integrated Circuit)>1万门以内,含有计数器和逻辑功能块等电路。 c. 大规模集成电路(LSI:Large Scale Integrated Circuit)>几万门,含有更多更大逻辑功能块,如4位CPU等。 d. 超大规模集成电路(VLSI:Very Large Scale Integrated Circuit)>近十万门,如64位CPU等电路。 e. 特大规模集成电路(ULSI:Ultra Large Scale Integrated Circuit)>几百万门以上,如DSP、CPU等电路。 f. 巨大规模集成电路(GSI:Giga Scale Integrated Circuit)>千万门以上,如SoC等电路。 5、集成电路系统设计需具备哪些要素? 人才、工具、库和资金。

集成电路工艺原理试题总体答案

目录 一、填空题(每空1分,共24分) (1) 二、判断题(每小题1.5分,共9分) (1) 三、简答题(每小题4分,共28分) (2) 四、计算题(每小题5分,共10分) (4) 五、综合题(共9分) (5) 一、填空题(每空1分,共24分) 1.制作电阻分压器共需要三次光刻,分别是电阻薄膜层光刻、高层绝缘层光刻和互连金属层光刻。 2.集成电路制作工艺大体上可以分成三类,包括图形转化技术、薄膜制备技术、掺杂技术。 3.晶体中的缺陷包括点缺陷、线缺陷、面缺陷、体缺陷等四种。 4.高纯硅制备过程为氧化硅→粗硅→ 低纯四氯化硅→ 高纯四氯化硅→ 高纯硅。 5.直拉法单晶生长过程包括下种、收颈、放肩、等径生长、收尾等步骤。 6.提拉出合格的单晶硅棒后,还要经过切片、研磨、抛光等工序过程方可制备出符合集成电路制造要求的硅衬底 片。 7.常规的硅材料抛光方式有:机械抛光,化学抛光,机械化学抛光等。 8.热氧化制备SiO2的方法可分为四种,包括干氧氧化、水蒸汽氧化、湿氧氧化、氢氧合成氧化。 9.硅平面工艺中高温氧化生成的非本征无定性二氧化硅对硼、磷、砷(As)、锑(Sb)等元素具有掩蔽作用。 10.在SiO2内和Si- SiO2界面存在有可动离子电荷、氧化层固定电荷、界面陷阱电荷、氧化层陷阱等电荷。 11.制备SiO2的方法有溅射法、真空蒸发法、阳极氧化法、热氧化法、热分解淀积法等。 12.常规平面工艺扩散工序中的恒定表面源扩散过程中,杂质在体内满足余误差函数分布。常规平面工艺扩散工序中的有限表 面源扩散过程中,杂质在体内满足高斯分布函数分布。 13.离子注入在衬底中产生的损伤主要有点缺陷、非晶区、非晶层等三种。 14.离子注入系统结构一般包括离子源、磁分析器、加速管、聚焦和扫描系统、靶室等部分。 15.真空蒸发的蒸发源有电阻加热源、电子束加热源、激光加热源、高频感应加热蒸发源等。 16.真空蒸发设备由三大部分组成,分别是真空系统、蒸发系统、基板及加热系统。 17.自持放电的形式有辉光放电、弧光放电、电晕放电、火花放电。 18.离子对物体表面轰击时可能发生的物理过程有反射、产生二次电子、溅射、注入。 19.溅射镀膜方法有直流溅射、射频溅射、偏压溅射、磁控溅射(反应溅射、离子束溅射)等。 20.常用的溅射镀膜气体是氩气(Ar),射频溅射镀膜的射频频率是13.56MHz。 21.CVD过程中化学反应所需的激活能来源有?热能、等离子体、光能等。 22.根据向衬底输送原子的方式可以把外延分为:气相外延、液相外延、固相外延。 23.硅气相外延的硅源有四氯化硅(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷(SiH2Cl2)、硅烷(SiH4)等。 24.特大规模集成电路(ULIC)对光刻的基本要求包括高分辨率、高灵敏度的光刻胶、低缺陷、精密的套刻对准、对大尺寸硅片 的加工等五个方面。 25.常规硅集成电路平面制造工艺中光刻工序包括的步骤有涂胶、前烘、曝光、显影、坚膜、腐蚀、 去胶等。 26.光刻中影响甩胶后光刻胶膜厚的因素有溶解度、温度、甩胶时间、转速。 27.控制湿法腐蚀的主要参数有腐蚀液浓度、腐蚀时间、腐蚀液温度、溶液的搅拌方式等。 28.湿法腐蚀Si所用溶液有硝酸-氢氟酸-醋酸(或水)混合液、KOH溶液等,腐蚀SiO2常用的腐蚀剂是HF溶液,腐蚀 Si3N4常用的腐蚀剂是磷酸。 29.湿法腐蚀的特点是选择比高、工艺简单、各向同性、线条宽度难以控制。 30.常规集成电路平面制造工艺主要由光刻、氧化、扩散、刻蚀、离子注入(外延、CVD、PVD)等工 艺手段组成。 31.设计与生产一种最简单的硅双极型PN结隔离结构的集成电路,需要埋层光刻、隔离光刻、基区光刻、发射区光刻、引线区 光刻、反刻铝电极等六次光刻。 32.集成电路中隔离技术有哪些类? 二、判断题(每小题1.5分,共9分) 1.连续固溶体可以是替位式固溶体,也可以是间隙式固溶体(×) 2.管芯在芯片表面上的位置安排应考虑材料的解理方向,而解理向的确定应根据定向切割硅锭时制作出的定位面为依据。(√) 3.当位错线与滑移矢量垂直时,这样的位错称为刃位错,如果位错线与滑移矢量平行,称为螺位错(√) 4.热氧化过程中是硅向二氧化硅外表面运动,在二氧化硅表面与氧化剂反应生成二氧化硅。(×) 5.热氧化生长的SiO2都是四面体结构,有桥键氧、非桥键氧,桥键氧越多结构越致密,SiO2中有离子键成份,氧空位表现为带正

相关文档
最新文档