计算机组成原理课程设计—硬布线控制器的设计与实现

计算机组成原理课程设计—硬布线控制器的设计与实现
计算机组成原理课程设计—硬布线控制器的设计与实现

硬布线控制器的设计与调试

教学目的、任务与实验设备

教学目的

熟练掌握实验5和硬布线控制器的组成原理与应用。

复习和应用数据通路及逻辑表达式。

学习运用ISP(在系统编程)技术进行设计和调试的基本步骤和方法,熟悉集成开发软件中设计调试工具的使用,体会ISP技术相对于传统开发技术的优点。

教学任务

按给定的数据格式和指令系统,在所提供的器件范围内,设计一台硬布线控制器控制的模型计算机.

根据设计图纸,在通用实验台上进行组装,并调试成功.

在组装调试成功的基础上,整理出设计图纸和其他文件。

实验设备

TEC-4计算机组成原理实验系统一台

直流万用表一只

集成电路建议使用ISP芯片(一片ispLSI1032)。采用ISP器件,则需要一台PC机运行设计自动化软件(例如ispEXPERT)作设计、编程和下载使用.

总体设计思路(描述指令系统,给数据通路)

采用与模型计算机相同的指令系统,即12条机器指令。实验设计中采用该指令系统的子集:去掉中断指令后的3条机器指令,只保留9条指令。

采用的数据通路和微程序控制器方案相同。

·数据通路图和数据通路控制信号

DBUS

控制器的设计思路

硬布线控制器能够实现控制功能,关键在于它的组合逻辑译码电路。译码电路的任务就是将一系列有关指令、时序等的输入信号,转化为一个个控制信号,输出到各执行部件中。

根据硬布线控制器的基本原理,针对每个控制信号S,可以列出它的译码函数S = f( Im,Mi, Tk,Bj)其中Im是机器指令操作码译码器的输出信号,Mi是节拍信号发生器的节拍信号,Tk是时序信号发生器的时序信号,Bj是状态条件判断信号.

在TEC—4计算机组成原理实验系统中,因为时序信号Tk(T1—T4)已经直接输送给数据通路,所以译码电路不需Tk作为输入。又因为机器指令系统比较简单,操作码只有4位,不需要专门的操作码译码器,因此Im直接就是操作码,即指令寄存器的IR4—IR7信号。Mi的来源就是时序模块的节拍信号,例如W4-W1。Bj的信号包括:

1.来自数据通路中运算器ALU的进位信号C;

2.来自控制台的开关信号SWC、SWB、SWA;

3.其他信号。

其中C、SWC、SWA和SWB信号在微程序控制器中同样存在,不用加以解释.由于硬布线控制器设计和微程序控制器设计的不同需求和特点以及控制器的设计方案的不同,可能需要其他信号,也可能不需要其他信号,根据设计方案而定。每个控制信号的函数式都是上述输入信号的逻辑表达式,因此可以用各种组合逻辑构造电路网络,实现这些表达式的逻辑功能。理论上,只要对所有控制信号都设计出译码函数,这个硬布线控制器的方案也就得到了。

根据要求,列出所需的控制台指令和机器指令

老师提供的控制台指令流程图 :

在这个控制台里,我们将控制台指令KRR,KRD,KWE,KLD,PR分别拆分为KRR1,KRR2,KRD1,KRD2,KWE1,KWE2,KLD1,KLD2和PR1,PR2。每个小指令分别占用W1—W4四个节拍.分2次执行完成。

控制台控制信号作用:

设计方案

设计硬布线控制器的控制流程,也就是解决Mi、Im、Bj如何起作用的问题。设计微程序控制器时可以使用流程图,设计硬布线控制器同样可以使用流程图。微程序控制器的控制信号以微指令周期为时间单位,硬布线控制器以节拍为时间单位,两者本质上是一样的,1拍和1个微指令周期都是从时序T1的上升沿到

T4的下降沿的一段时间.在微程序控制流程图中,1个执行框代表1个微指令周期,而在硬布线控制流程图中,1个执行框就代表1拍。

执行一条机器指令所需的微指令数目,在硬布线控制器中相当于机器指令所需的节拍数。决定执行一条指令需要的节拍数,要根据所有指令而定.既不能只考虑某些需要最多节拍的指令,也不能只考虑节拍数最少的指令,一般要根据大多数机器指令所需的节拍数而定,设计才比较合理.在本实验中,由于选用4拍对大多数指令就够用,所以节拍发生器产生4个节拍信号(W1—W4)。统一用4拍执行1条机器指令后,对于所需节拍较少的的指令,例如JMP指令只用2拍(忽略中断),剩下2拍就无事可做了。这在可行性上当然没有问题,但在性能上就打了折扣,因为多余的节拍都浪费掉了。为减少浪费,在时序电路中加入了一个控制信号S KIP的输入,该信号的作用是使节拍发生器在任意状态下直接跳到最后1拍(W4)。这样,设计控制流程时,在所需节拍较少的的指令流程的适当位置使SKIP控制信号有效,多余的节拍就可以跳过,从而提高了性能。

机器指令选用四拍以后,将一条机器指令的执行化为占用两条(或者更多)机器指令的节拍,执行一条指令就可以占用W1、W2、W3、W4、W1、W2、W3、W4。为了区分一条指令的两个不同阶段,我们加了个ST内部信号作为标志位,当ST=0时,标志执行指令的前四个节拍,当ST=1时,标志执行指令的后四个节拍。注意到只有CLR#到来后的第四拍时ST信号才发生翻转,所以又设了一个SSTO信号作为ST信号的触发信号。

具体实现ST-SSTO模块如下:我们增加了一个标志位RUN,由于按CLR#按钮复位后,实验系统的时序停止在T4,W4,ST的值为0,这样SSTO=!ST&W4的值为1.按QD启动按钮后,由于立即产生T1信号,在T1的上升沿使ST置1,在第一组W1,W2,W3,W4时,ST的值为1,这是我们不希望看到的。

增加了标志位RUN后,按CLR#按钮复位,使RUN为0。由于SSTO=!ST*W4*RUN,因此复位后的SSTO=0.按QD启动按钮,在T1的上升沿,使RUN=1。根据SSTO的布尔表达式,在W1,W2,W3时,SSTO=0,直到W4时,才使SSTO=1,由于ST:=CLR#*SSTO#CLR*ST,在W4过后的下一个T1的上升沿,才使ST置1,从而将控制台操作的两种状态区分开来。

根据控制台指令设计出的硬布线控制器:

逻辑状态表:

根据硬布线指令流程图画出状态表,然后根据表格,列写出每个信号的逻辑表达

?设计的实现(ABEL—HDL)

ABEL语言源代码如下:

MODULE ComputeDECLARATIONS ”输入管脚

SWC,SWB,SW A PIN 3.。5;

IR7, IR6,IR5,IR4PIN 6.。9;

MF,T1, W1,W2,W3,W4,C,CLR PIN10..17;

"输出管脚

ALU_BUS,AR1_INC,CEL, CER, LDAR1, LDAR2,LDDR1,LDDR2,LDER,LDIR,LDPC,LDR4,LRWPIN29..41;

PC_INC,PC_ADD,RS_BUS,SW_BUS,WRD, SKIP, TJ,M1,M2,M3,M4,S0,S1,S2PIN45.。58;

"自定义

MF1,SSTO NODE ISTYPE'COM';

RUN,ST NODEISTYPE'REG';

tKRR,tKRD,tKWE,tKLD,tPRNODE ISTYPE 'COM';

KRR1,KRD1,KWE1,KLD1,PR1,KRR2,KRD2,KWE2,KLD2,PR2 NODE ISTYPE 'COM';

ADD,SUB,MUL,AND,LDA,STA,JMP,JC,STP NODE ISTYPE 'COM’;

CLK=.C。;

EQUATIONS

MF1=!CLR&MF#T1&CLR;

RUN:=CLR;

RUN.CLK=MF1;

ST:=CLR&SSTO#CLR&ST;

ST.CLK=MF1;

SSTO=!ST&RUN&W4;

"指令译码部分

tKRR=SWC&!SWB&!SWA;

tKRD=!SWC&!SWB&SWA;

tKWE=!SWC&SWB&!SWA;

tKLD=!SWC&SWB&SW A;

tPR=!SWC&!SWB&!SWA;

KRR1=!ST&tKRR;

KRR2=ST&tKRR;

KRD1=!ST&tKRD;

KRD2=ST&tKRD;

KWE1=!ST&tKWE;

KWE2=ST&tKWE;

KLD1=!ST&tKLD;

KLD2=ST&tKLD;

PR1=!ST&tPR;

PR2=ST&tPR;

ADD=PR2&(!IR7)&(!IR6)&(!IR5)&(!IR4);

SUB=PR2&(!IR7)&(!IR6)&(!IR5)&(IR4);

MUL=PR2&(!IR7)&(!IR6)&(IR5)&(!IR4);

AND=PR2&(!IR7)&(!IR6)&(IR5)&(IR4);

LDA=PR2&(!IR7)&(IR6)&(!IR5)&(IR4);

STA=PR2&(!IR7)&(IR6)&(!IR5)&(!IR4);

JMP=PR2&(IR7)&(!IR6)&(!IR5)&(!IR4);

JC=PR2&(IR7)&(!IR6)&(!IR5)&(IR4);

STP=PR2&(!IR7)&(IR6)&(IR5)&(!IR4);

"数据通路管脚译码

ALU_BUS=(ADD#SUB#MUL#AND)&W3#(STA&W4);

AR1_INC=(KRD2#KWE2)&W4;

CEL=!((KRD2#KWE2#KLD2#KRR2)&W1#(W3&LDA)#(W4&STA));

CER=(KLD2#KRR2)&W2#(W1&PR2);

LDAR1=W4&(KRR1#KRD1#KWE1#KLD1)#(W2&LDA)#(W2&STA);

LDAR2=W4&(KRR1#KLD1)#(PR2&W1);

LDDR1=W2&(ADD#SUB#MUL#AND);

LDDR2=LDDR1#(W2&STA);

LDER=W3&(KLD2#ADD#SUB#MUL#AND#LDA);

LDIR=CER;

LDPC=W4&(PR1#JMP#(JC&C));

LDR4=LDPC;

LRW=W1&KRD2#W3&LDA;

M1=!LDDR1;

M2=!LDDR2;

M3=W4&(KRR1#KLD1);

M4=W4&(PR1#JMP);

PC_INC=W1&PR2;

PC_ADD=W4&JC&C;

RS_BUS=!(W2&(LDA#STA)#W4&(KRR2#JMP));

SW_BUS=!(W1&(KWE2#KLD2#KRR2)#(W3&KLD2)#(W4&!ST));

S0=SUB#STA;

S1=ADD#SUB;

S2=MUL;

SKIP=W1&!ST#(W1&(KRD2#KWE2))#(W2&(KRR2#STA))#W2&(JMP#JC#STP);

TJ=W1&KRD2#W2&KLD2#W4&(tKRR#tKWE#tKLD)#W4&STP;

WRD=W4&(KLD2#ADD#SUB#MUL#AND#LDA);

END

对程序进行编译,无误后下载到芯片。

连线,调试,验收

连线按照ABEL程序里面对管脚的定义连线

寄存器和内存单元内容:

实验开始就很考验我们的细心与操作,实验5的连线很多,虽然能够可以轻松的连出数据通路,但是在读寄存器还有读存储器时候很容易忽略一些细节。尤其是寄存器的编号设置很容易忘记怎么设,导致实验进入误区,读不出来正确的数据。编程我们是通过参考网上的程序来完成的,虽然弄清楚怎么执行的写数据和读数据的指令,但是跟自己一开始的思路还是差很多,网上的太过于简便,反而容易误导我们的思路,自己做的错误太多,只能和网上的一块调试并应用.需要注意的就是一开始写数的时候,网上的可以直接逻辑拉下来,我们只能一个个去写。这个步骤的连线没什么难的,需要注意的就是最后那5个端口不能忘了。

硬布线控制器最最重要的就是数据通路和逻辑表达式的应用,不管是检测实验电路还是最后实验数据的输出,都需要掌握这些知识。本实验还涉及到了DP,DZ,DB的步骤顺序控制方式,只要掌握好数据通路就可以轻松理解这些步骤。

总的来说,小学期的实验需要的不仅仅是时间还有耐心和以前所学的应用,这次的实验不仅告诉我们要牢牢掌握所学过的知识还是学会合作。

参考文献:

1。《计算机组成原理》白中英老师编写

本学期的五次实验指导书

计算机组成原理课程设计硬布线控制器的设计与实现

硬布线控制器的设计与调试 教学目的、任务与实验设备 教学目的 熟练掌握实验5和硬布线控制器的组成原理与应用。 复习和应用数据通路及逻辑表达式。 学习运用ISP(在系统编程)技术进行设计和调试的基本步骤和方法,熟 悉集成开发软件中设计调试工具的使用,体会ISP技术相对于传统开发技术的优点。 教学任务 按给定的数据格式和指令系统,在所提供的器件范围内,设计一台硬布线 控制器控制的模型计算机。 根据设计图纸,在通用实验台上进行组装,并调试成功。 在组装调试成功的基础上,整理出设计图纸和其他文件。 实验设备 微操作控制信号·····CnC1结果反馈信息指B1硬布线控制器指令(组合

逻辑网络)令Bn译寄码ispLSI1032E-70LJ84存模器块 T1W1T1W4启动 TJ停止节拍脉冲节拍电位/时钟发生器SKIP复位硬布线控制器结构方框图 计算机组成原理实验系统一台-4TEC 直流万用表一只 器件,则需要一台ISP)ispLSI1032。采用集成电路建议使用ISP芯片(一片 作设计、编程和下载使用。ispEXPERT)机运行设计自动化软件(例如PC 总体设计思路(描述指令系统,给数据通路) 条机器指令。实验设计中采用12采用与模型计算机相同的指令系统,即 条指令93条机器指令,只保留该指令系统的子集:去掉中断指令后的。采用的数据通路和微程序控制器方案相同。 ·数据通路图和数据通路控制信号 DBUS

CINS S2T4CEL#CER端口指令口端数据S1ALUALU_BUS LRW(T3)口端A口端BS0RAM LDAR1(T4) LDDR1(T3)LDDR2(T3)AR1_INC LDAR2(T2)AR1AR2DR2DR1M3MUX3M1M2MUX2MUX1DBUS LDPC(T4)RS_BUS#IAR_BUS#PC PC_ADD LDIAR IAR ALU2PC_INCRD0、RS0RD1、RS1端口B端口A RF WR0、 WR1WRD(T2)LDR4(T2)R4LDER(T4)ER M4MUX4DBUS SW_BUS#WR0、WR1RD0RD1、RS0、RS1控SW7—SW0制器控制..LDIR(T4)信IR.INTQ、C号 图4数据通路总体图2. 控制器的设计思路 硬布线控制器能够实现控制功能,关键在于它的组合逻辑译码电路。译码 电路的任务就是将一系列有关指令、时序等的输入信号,转化为一个个控制信号,输出到各执行部件中。 根据硬布线控制器的基本原理,针对每个控制信号S,可以列出它的译码

硬连线控制器CPU设计

目录 目录 (i) 摘要 (ii) Abstract (iii) 第一章课题背景 (1) 1.1 设计目的 (1) 1.2 设计原理 (1) 第二章设计简介及设计方案论述 (2) 2.1 单元电路设计 (2) 第三章详细设计 (4) 3.1 芯片介绍 (4) 3.2 指令系统结构及功能确定 (6) 第四章设计结果及分析 (7) 4.1 设计结果分析 (7)

摘要 硬连线控制器是由基本逻辑电路组成的,对指令中的操作码进行译码,并产生相应的时序控制信号的部件,又称组合逻辑控制器。硬连线控制器由指令部件、地址部件、时序部件、操作控制部件和中断控制部件等组成(参见“中央处理器”条目中的控制器部分)。其中操作控制部件用来产生各种操作控制命令,它根据指令要求和指令流程,按照一定顺序发出各种控制命令。操作控制部件的输人信号有:指令译码器的输出信号、时序信号和运算结果标志状态信号等。设计时根据指令流程、操作时间表得到各种操作控制命令的逻辑表达式,可采用由基本逻辑电路(与门、或门、与非门等)组成的逻辑网络来实现。也可采用可编程逻辑器件PLD来实现。PLD的“与”阵列及“或”阵列和操作控制命令的“与一或”逻辑表达式相对应,为设计组合逻辑控制器提供了一种理想器件。80年代出现的通用阵列逻辑电路〔GAL与PAL(参见专用逻辑集成电路))具有与可编程逻辑器件PLD类似的结构,它不但可编程并且是可擦除的,为设计提供了更大的灵活性。组合逻辑控制器的最大优点是速度快。但因其线路复杂而且不规整,不便于调试、维护、修改,也不便于仿真不同的机器的指令集。 关键词:硬连线控制器;基本逻辑

Abstract Hard wired controller is composed of the basic logic circuits, decodes the instruction in the operation code, andproduce the corresponding sequential control signal components, also called combined logic controller. Hard wired controller by the instruction unit, address components, temporal parts, operation control part and the interrupt controlcomponents etc. (see "the controller part central processor" in entry). The operation control unit is used for generating various operation control command, it according to the instructions and instruction process, according to the order of the control commands issued. The operation of part of the control input signal: the instruction decoderoutput signals, timing signals and operation results indicating the status signal. The design according to theinstruction flow, operation schedule obtained logical expression of various operation control command, can beadopted by the basic logic circuits (and gate, or gate and NAND gate logic network composition etc.) to realize. Can also adopt the programmable logic device PLD to realize. PLD "and" and "or" array and array operation control command "and or" logical expression corresponding, providing an ideal device for the design of combinational logic controller. GAL and PAL generic array logic circuit (80 of the 1980s (see special logic integrated circuit)) withprogrammable logic device structure similar to the PLD, it is not only a programmable and erasable, provides more flexibility to design. The biggest advantage combinational logic controller is fast. But because of the circuit complex and irregular, not easy to debug, maintain, modify, also not easy for machines with different instruction set simulation. Keywords:Hard wired controller;basic logic

硬布线控制器控制的CPU设计

硬布线控制器控制的CPU设计 &硬布线控制器控制的CPU设计步骤: 1、确定CPU用途; 2、设计指令集,同时,设计指令访问寄存器; 3、设计CPU状态图; 4、建立数据通路; 5、设计控制器。 &设计过程: 一.确定CPU用途: CPU指令执行包括三个阶段: 1、取指令阶段:从存储器取出一条指令; 2、指令译码阶段:对取出的指令进行译码,即确定取到的指令是何种指令,然后转移到该种指令的执行阶段; 3、指令执阶段:执行指令。 指令执行完毕,又转移到下一条指令的取指令阶段,开始新一轮的循环。 CPU状态图二设计指令集: 执行

内存有32个存储单元,每个存储单元8位(一个字节);CPU有5根地址线,即A4、…、A0,8根 三.指令格式 指令格式 专用寄存器: 1、程序计数器PC:5位; 2、地址寄存器AR:5位,接地址总线A[4..0]; 3、数据寄存器DR:8位,接数据总线D[7..0]; 4、指令寄存器IR:8位; 5、程序状态字寄存器PSW:只有进位C一个标志值,可用C代表PSW。 三.设计CPU状态图

5.3.4 取指令和译码周期 5.3.5 取指令和指令执行过程

四.建立数据通路 数据通路:数据在各功能部件之间传送路径。 有两种数据通路设计方案: 1、专用数据通路方式:在需要传送数据的部件之间创建一条专门的直接通路 。特点:数据传输性能高,但硬件设计量大。 2、内部总线方式:所有寄存器的输入端和输出端都连接到一条或多条公共通路上。特点:结构简单,但可能存在冲突现象 控制信号说明 ARLD :AR 锁存信号 PCLD :PC 锁存信号 PC+1:PC 自加1控制信号 DRLD :DR 锁存信号 ACLD :AC 锁存信号 ALU(-):ALU 减法触发信号 IRLD :IR 锁存信号 PCBUS :PC 三态输出控制信号 DRBUS :DR 三态输出控制信号 ACBUS :AC 三态输出控制信号 IRBUS :IR 三态输出控制信号 MBUS :存储器三态输出控制信号 RD :存储器读信号 WR :存储器写信号 寄存器及总线设计 CPU 状态及其控制信号 T 4T T

控制器部分习题解答

控制器部分习题解答 一、选择题 1、以下叙述中正确描述的句子是:______。(A、D) A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作 2、流水CPU 是由一系列叫做“段”的处理线路所组成,和具有m个并行部件的CPU相比,一个m 段流水CPU______。(A) A 具备同等水平的吞吐能力B不具备同等水平的吞吐能力 C 吞吐能力大于前者的吞吐能力D吞吐能力小于前者的吞吐能力 3、同步控制是______。(C) A 只适用于CPU控制的方式 B 只适用于外围设备控制的方式 C 由统一时序信号控制的方式 D 所有指令执行时间都相同的方式 4、微程序控制器中,机器指令与微指令的关系是______。(B) A. 每一条机器指令由一条微指令来执行 B. 每一条机器指令由一段微指令编写的微程序来解释执行 C. 每一条机器指令组成的程序可由一条微指令来执行 D. 一条微指令由若干条机器指令组成 5、由于CPU内部的操作速度较快,而CPU访问一次主存所花的时间较长,因此机器周期 通常用______来规定。(A) A 主存中读取一个指令字的最短时间 B 主存中读取一个数据字的最长时间 C 主存中写入一个数据字的平均时间 D 主存中读取一个数据字的平均时间 6、指令周期是指______。(C) A CPU从主存取出一条指令的时间; B CPU执行一条指令的时间; C CPU从主存取出一条指令加上CPU执行这条指令的时间; D 时钟周期时间; 7、在CPU中跟踪指令后继地址的寄存器是______。(B) A 主存地址寄存器 B 程序计数器 C 指令寄存器 D 状态条件寄存器 8、异步控制常用于______作为其主要控制方式。(A) A 在单总线结构计算机中访问主存与外围设备时; B 微型机的CPU控制中; C 组合逻辑控制的CPU中; D 微程序控制器中; 9、微程序控制器中,机器指令与微指令的关系是______。(B) A 每一条机器指令由一条微指令来执行; B 每一条机器指令由一段用微指令编成的微程序来解释执行; C 一段机器指令组成的程序可由一条微指令来执行; D 一条微指令由若干条机器指令组成; 10、同步传输之所以比异步传输具有较高的传输频率是因为同步传输______。(B、D) A 不需要应答信号; B 总线长度较短; C 用一个公共时钟信号进行同步;

基于VHDL硬连线控制器设计研究

东华理工大学信息工程学院 课程设计报告 课程:计算机组成与体系结构 课程设计题目:基于VHDL的硬连线控制器设计研究 学生姓名: 专业:计算机科学与技术 班级:10204102 指导教师: 2013年1月6日

目录 一、摘要-------------------------------------------------------------------------3 二、课程设计目的-------------------------------------------------------------4 三、课程设计的内容----------------------------------------------------------4 四、课程设计的分析与步骤-------------------------------------------------5 五、经验和总结---------------------------------------------------------------10 六、参考文献------------------------------------------------------------------11 七、程序清单------------------------------------------------------------------11

一、摘要 控制器是指挥计算机的各个部件按照指令的功能要求协调工作的部件,是计算机的神经中枢和指挥中心,由指令寄存器IR(InstructionRegister)、程序计数器PC(ProgramCounter)和操作控制器0C(OperationController)三个部件组成,对协调整个电脑有序工作极为重要。指令寄存器:用以保存当前执行或即将执行的指令的一种寄存器。程序计数器:指明程序中下一次要执行的指令地址的一种计数器,又称指令计数器。操作控制器:CPU内的每个功能部件都完成一定的特定功能。信息在各部件之间传送及数据的流动控制部件的实现。通常把许多数字部件之间传送信息的通路称为“数据通路”。信息从什么地方开始,中间经过哪个寄存器或多路开关,最后传到哪个寄存器,都要加以控制。在各寄存器之间建立数据通路的任务,是由称为“操作控制器”的部件来完成的。 控制器分组合逻辑控制器和微程序控制器,两种控制器各有长处和短处。组合逻辑控制器设计麻烦,结构复杂,一旦设计完成,就不能再修改或扩充,但它的速度快。微程序控制器设计方便,结构简单,修改或扩充都方便,修改一条机器指令的功能,只需重编所对应的微程序;要增加一条机器指令,只需在控制存储器中增加一段微程序,但是,它是通过执行一段微程。具体对比如下:组合逻辑控制器又称硬布线控制器,由逻辑电路构成,完全靠硬件来实现指令的功能。 硬连线控制器是由基本逻辑电路组成的,对指令中的操作码进行译码,并产生相应的时序控制信号的部件,又称组合逻辑控制器。硬连线控制器由指令部件、地址部件、时序部件、操作控制部件和中断控制部件等组成(参见“中央处理器”条目中的控制器部分)。其中操作控制部件用来产生各种操作控制命令,它根据指令要求和指令流程,按照一定顺序发出各种控制命令。操作控制部件的输人信号有:指令译码器的输出信号、时序信号和运算结果标志状态信号等。设计时根据指令流程、操作时间表得到各种操作控制命令的逻辑表达式,可采用由基本逻辑电路(与门、或门、与非门等)组成的逻辑网络来实现。也可采用可编程逻辑器件PLD来实现。PLD的“与”阵列及“或”阵列和操作控制命令的“与一或”逻辑表达式相对应,为设计组合逻辑控制器提供了一种理想器件。80年代出现的通用阵列逻辑电路〔热L与PAL(参见专用逻辑集成电路))具有与可编程逻辑器件PLD类似的结构,它不但可编程并且是可擦除的,为设计提供了更大的灵活性。组合逻辑控制器的最大优点是速度快。但因其线路复杂而且不规整,不便于调试、维护、修改,也不便于仿真不同的机器的指令集。 组合逻辑控制器的基本组成:(1)指令寄存器用来存放正在执行的指令。指令分成两部分:操作码和地址码。操作码用来指示指令的操作性质,如加法、减法等;地址码给出本条指令的操作数地址或形成操作数地址的有关信息(这时通过地址形成电路来形成操作数地址)。有一种指令称为转移指令,它用来改变指令的正常执行顺序,这种指令的地址码部分给出的是要转去执行的指令的地址。(2)操作码译码器:用来对指令的操作码进行译码,产生相应的控制电平,完成分析指令的功能。(3)时序电路:用来产生时间标志信号。在微型计算机中,时间标志信号一般为三级:指令周期、总线周期和时钟周期。微操作命令产生电路产生完成指令规定操作的各种微操作命令。这些命令产生的主要依据是时间标志和指令的操作性质。该电路实际是各微操作控制信号表达式(如上面的A→L表达式)的电路实现,它是组合逻辑控制器中最为复杂的部分。(4)指令计数器:用来形成下一条要执行的指令的地址。通常,指令是顺序执行的,而指令在存储器中是顺序存放的。所以,一般情况下下一条要执行的指令的地址可通过将现行地址加1形成,微操作命令“ 1”就用于这个目的。如果执行的是转移指令,则下一条要执行的指令的地址是要转移到的地址。该地址就在本转移指令的地址码字段,将其直接送往指令计数器。

硬布线设计实验报告

计算机组成原理 实验报告 实验名称:使用硬连线控制器的CPU设计专业班级:计算机科学与技术01 学生姓名:贾晓冬宋丽君杨嘉宁肖迪月实验时间:

目录 1 教学目的、实验设备与任务 教学目的 (3) 实验设备........................................................... . (3) 设计与调试任务........................................................... . (3) 2 总体说明 (4) 硬连线控制器的基本原理 (4) 指令系 统..................................................... (4) 控制台指 令..................................................... (5) 数据通 路..................................................... (5) 控制器指令周期流程 图..................................................... (5) 控制台控制信号及作 用..................................................... (6) 3 设计方案 (7) 说明及流程 图..................................................... (7) 逻辑状态 表..................................................... (8)

组成原理实验报告-基于硬布线控制器设计并实现毕业论文

(此文档为wor d格式,下载 后您可任意编辑修改!) 课中检查完成的题号及 题数:

评 语: 课后完成的题号与题 数: 成绩: 指导教 师: 实验报告 实验名称:基于硬布线控制器设计并实现 带中断功能的复杂模型机 日 期: 班 级:学号: 姓 名: 一、实验目的: 1. 掌握硬布线控制器的组成原理、设计方法; 2. 了解硬布线控制器和微程序控制器的各自优缺点; 3. 掌握并会设计带中断功能的复杂模型机的硬布线控制器。 二、实验内容: 1. 根据带中断功能的复杂模型机的微程序流图,画出状态机描述图; 2. 分析每个状态所需的控制信号,产生控制信号表,并用VHDL语言来设计 程序,实现状态机描述的功能; 3. 用Quartus软件进行编译链接,选择器件,定义管脚,编程下载,然后用 CM3P联机测试每一条机器指令的功能。

三、项目要求及分析: 实验要求设计带中断功能的复杂模型机的硬布线控制器,可先参照前面带中断处理能力的模型机设计实验画出微程序流程图,参照二进制微代码表设控制信号表。然后用VHDL语言编程实现,主要注意原P<1>—P<4>的修改,采用分支语句实现。然后就是连线装载带中断处理能力的模型机微程序检验。 四、具体实现: 应包括:状态图、控制信号表、控制引脚图、VHDL程序、机器码验证程序等。 1、状态图:

S0S1S33 S34 S35 S36 S37 S38 S2S3 S54S55S56 S57 S58S59S53S52S51S50 S49S48S60 S S47 S4S5S6S7S8S9S10 S16 S17 S18S19S20S21S22S23S24S25S26S28S29S30S31S32S S S S S12S13S14 返回S1返回S1返回S1 返回S1返回S1 返回S1 返回S1返回S1 返回S1返回S1返回S1 返回S1返回S1返回S1 2、控制状态表: INTAWRRDIOMS3S2S1S0LDALDBLDR0LDSPL0ADLDARLDIRALUBRSBRDBRIBSPBPCBLDPCSTICLI S0 S1 S2 S3 S4 S5 S6 S7 S8 S9

硬连线控制器设计

模型机硬连线控制器设计 一、实验目的 (1)融会贯通计算机组成原理与体系结构课程各章教学内容,通过知识的综合运用,加深对CPU个模块工作原理及相互联系的认识; (2)掌握硬连线控制器的设计方法; (3)培养科学研究能力,取得设计和调试的实践经验。 二、实验设备 (1)TEC-8实验系统1台 (2)Pentium 3 以上的PC 1台 (3)双踪示波器1台 (4)直流万用表1块 (5)逻辑测试笔(在TEC-8实验台上)1支 三、设计与调试任务 (1)设计一个硬连线控制器,和TEC-8模型计算机的数据通路结合在一起,构成一个完整的CPU,对该CPU要求: ●能顾完成控制台操作,包括启动程序运行、读存储器、写存储器、读寄存 器、写寄存器。 ●能够执行表3.1中的指令,完成规定的指令功能。 表3.1中,XX代表任意值,Rs代表源寄存器号,Rs代表目的寄存器号。 在条件转移指令中,@代表当前PC的值,offset是一个四位的有符号数,第三位是符号位,0代表正数,1代表负数。注意:@不是当前指令的PC 值,而是当前指令的PC值加1。

表错误!文档中没有指定样式的文字。.1新设计CPU的指令系统 ●在Quartus||下对硬连线控制器进行编程的编译。 ●将编译后的硬连线控制器下载到TEC-8实验台的可编程器件EPM7128S中 去,使得EPM7128S成为一个硬连线控制器。

根据指令系统,编写检测硬连线控制器正确性的测试程序,并用测试程序对硬连线控制器在单微指令方式下进行调试,直到成功。 (2)在调试成功的基础上,整理出设计文件。 1.硬连线控制器逻辑模块图; 2.硬连线控制器指令周期流程图; 3.硬连线控制器的硬件描述语言源程序; 4.测试程序; 5.设计说明书; 6.调试总结。 四、硬连线控制器逻辑模块图 本实验要求设计硬连线控制器,而仍然利用实验台的数据通路和其他模块。因此我们只需对硬连线控制器部分进行编程就行了。TEC-8模型计算机电路框图如下图1。

组成原理实验报告-基于硬布线控制器设计并实现

评语: 课中检查完成的题号及题数: 课后完成的题号与题数: 成绩: 指导教师: 实验报告 日期:2011-1-12 实验名称:基于硬布线控制器设计并实现 带中断功能的复杂模型机 班级:学号:姓名: 一、实验目的: 1. 掌握硬布线控制器的组成原理、设计方法; 2. 了解硬布线控制器和微程序控制器的各自优缺点; 3. 掌握并会设计带中断功能的复杂模型机的硬布线控制器。 二、实验内容: 1. 根据带中断功能的复杂模型机的微程序流图,画出状态机描述图; 2. 分析每个状态所需的控制信号,产生控制信号表,并用VHDL语言来设计程序,实现状 态机描述的功能; 3. 用Quartus软件进行编译链接,选择器件,定义管脚,编程下载,然后用CM3P联机测 试每一条机器指令的功能。 三、项目要求及分析: 实验要求设计带中断功能的复杂模型机的硬布线控制器,可先参照前面带中断处理能力的模型机设计实验画出微程序流程图,参照二进制微代码表设控制信号表。然后用VHDL语言编程实现,主要注意原P<1>—P<4>的修改,采用分支语句实现。然后就是连线装载带中断处理能力的模型机微程序检验。 四、具体实现: 应包括:状态图、控制信号表、控制引脚图、VHDL程序、机器码验证程序等。

S0S1S33 S34 S35 S36 S37 S38 S2S3 S54S55S56 S57 S58S59S53S52S51S50 S49S48S60 S61S47 S62 S4S5S6S7S8S9S10 S16 S17 S18S19S20S21S22S23S24S25S26S28S29S30S31S32S39S40S41S42S43 S44 S45 S46 S12S13S14S15S11S27 返回S1返回S1返回S1 返回S1返回S1 返回S1 返回S1返回S1 返回S1返回S1返回S1 返回S1返回S1返回S1 返回S1 返回S1 2、控制状态表: INTA/WR/RD/IOM/S3/S2/S1/S0/LDA/LDB/LDR0/LDSP/L0AD/LDAR/LDIR/ALUB/RSB/RDB/RIB/SPB/PCB/LDPC/STI/CLI S0 100000000000100111111010 S1 100000000000100111111011 S2 100000000000110111110111 S3 101000000000101111111011 S4 100000000100100101111011 S5 100010010010100011111011 S6 100000000100100101111011 S7 100000100010100011111011 S8 101000000000110111111011 S9 101100000010100111111011 S10 101000000000110111111011 S11 100000000000100111111011 S12 101000000010100111111011 S13 110000000000100110111011

硬布线控制器和微程序控制器

硬布线控制器和微程序控制器 1.硬布线控制器 硬布线控制器是将控制部件做成产生专门固定时序控制信号的逻辑电路,产生各种控制信号,因而又称为组合逻辑控制器。这种逻辑电路以使用最少元件和取得最高操作速度为设计目标,因为该逻辑电路由门电路和触发器构成的复杂树型网络,所以称为硬布线控制器。缺点: (A)(一旦控制部件构成后,除非重新设计和物理上对它重新布线,否则要想增加新的控制功能是不可能的 (B)(当执行不同的机器指令时,通过激活一系列彼此很不相同的控制信号来实现对指令的解释,其结果使得控制器往往很少有明确的结构而变得杂乱无章组合逻辑控制器的最大优点是速度快,但是时序控制信号形成部件的结构不规整,使得设计、调试、维修较困难,难以实现设计自动化。 硬布线控制器逻辑设计中注意的事项 (1) 采用适宜指令格式,合理分配指令操作码; (2) 确定机器周期、节拍与主频; (3) 确定机器周期数及一周期内的操作; (4) 进行指令综合; 综合所有指令的每一个操作命令,写出逻辑表达式,并进行化简。 (5) 明确组合逻辑电路。将简化后的逻辑表达式用组合逻辑电路来实现。操作命令的控制信号先用逻辑表达式列出,进行化简,考虑各种条件的约束,合理选用逻辑门电路、触发器等器件,采用组合逻辑电路的设计方法产生控制信号。

总之,控制信号的设计与实现,技巧性较强,目前已有一些专门的开发系统或工具供逻辑设计使用,但是,对全局的考虑主要依靠设计人员的智慧和经验实现。 2.微程序控制器 采用微程序控制方式的控制器称为微程序控制器。所谓微程序控制方式是指微命令不是由组合逻辑电路产生的,而是由微指令译码产生。一条机器指令往往分成几步执行,将每一步操作所需的若干位命令以代码形式编写在一条微指令中,若干条微指令组成一端微程序,对应一条及其指令。在设计CPU时,根据指令系统的需要,事先编制好各段微程序,且将它们存入一个专用存储器(称为控制存储器)中。微程序控制器由指令寄存器IR、程序计数器PC、程序状态字寄存器PSW、时序系统、控制存储器CM、微指令寄存器以及微地址形成电路。微地址寄存器等部件组成。执行指令时,从控制存储器中找到相应的微程序段,逐次取出微指令,送入微指令寄存器,译码后产生所需微命令,控制各步操作完成。微程序控制器是采用存储逻辑来实现的,也就是把微操作信号代码化,使每条机器指令转化成为一段微程序并存入一个专门的存储器(控制存储器)中,微操作控制信号由微指令产生。 微程序控制器的设计思想和组合逻辑设计思想截然不同。它具有设计规整、调试、维修以及更改、扩充指令方便的优点,易于实现自动化设计,已成为当前控制器的主流。但是,由于它增加了一级控制存储器,所以指令执行速度比组合逻辑控制器慢。 但是,在同样的半导体工艺条件下,硬布线控制器速度要比微程序控制的快,随着新一代机器及VLSI技术的发展与不断进步,硬布线的随机逻辑设计思想又得到了重视,现代新型计算机体系结构如RISC中多采用硬布线控制逻辑。 硬布线控制与微程序控制的主要区别归纳为如下方面:

计算机组成原理课程设计硬布线控制器的设计与实现

计算机组成原理课程设计—硬布线控制器的设计与实现 硬布线控制器的设计与调试 教学目的、任务与实验设备 教学目的 熟练掌握实验5和硬布线控制器的组成原理与应用。 复习和应用数据通路及逻辑表达式。 学习运用ISP(在系统编程)技术进行设计和调试的基本步骤和方法,熟悉集成开发软件中设计调试工具的使用,体会ISP技术相对于传统开发技术的优点。 教学任务 按给定的数据格式和指令系统,在所提供的器件范围内,设计一台硬布线控制器控制的模型计算机。 根据设计图纸,在通用实验台上进行组装,并调试成功。 在组装调试成功的基础上,整理出设计图纸和其他文件。 实验设备

13 / 1 计算机组成原理课程设计—硬布线控制器的设计与实现 TEC-4计算机组成原理实验系统一台 直流万用表一只 集成电路建议使用ISP芯片(一片ispLSI1032)。采用ISP器件,则需要一台PC 机运行设计自动化软件(例如ispEXPERT)作设计、编程和下载使用。 总体设计思路(描述指令系统,给数据通路) 采用与模型计算机相同的指令系统,即12条机器指令。实验设计中采用该指令系统的子集:去掉中断指令后的3条机器指令,只保留9条指令。 采用的数据通路和微程序控制器方案相同。 ·数据通路图和数据通路控制信号 DBUS

图4 数据通路总体图13 / 2 计算机组成原理课程设计—硬布线控制器的设计与实现 控制器的设计思路 硬布线控制器能够实现控制功能,关键在于它的组合逻辑译码电路。译码电路的任务就是将一系列有关指令、时序等的输入信号,转化为一个个控制信号,输出到各执行部件中。 根据硬布线控制器的基本原理,针对每个控制信号S,可以列出它的译码函数S = f( Im, Mi, Tk, Bj )其中Im是机器指令操作码译码器的输出信号,Mi是节拍信号发生器的节拍信号,Tk是时序信号发生器的时序信号,Bj是状态条件判断信号。)已经直接输T4T1—4计算机组成原理实验系统中,因为时序信号Tk(在TEC—又因为机器指令系统比较简单,Tk所以译码电路不需作为输入。送给数据通路,直接就是操作码,即指操作码只有4位,不需要专门的操作码译码器,因

硬布线控制器和微程序控制器

1.硬布线控制器 硬布线控制器是将控制部件做成产生专门固定时序控制信号的逻辑电路,产生各种控制信号,因而又称为组合逻辑控制器。这种逻辑电路以使用最少元件和取得最高操作速度为设计目标,因为该逻辑电路由门电路和触发器构成的复杂树型网络,所以称为硬布线控制器。 缺点: (A).一旦控制部件构成后,除非重新设计和物理上对它重新布线,否则要想增加新的控制功能是不可能的 (B).当执行不同的机器指令时,通过激活一系列彼此很不相同的控制信号来实现对指令的解释,其结果使得控制器往往很少有明确的结构而变得杂乱无章 组合逻辑控制器的最大优点是速度快,但是时序控制信号形成部件的结构不规整,使得设计、调试、维修较困难,难以实现设计自动化。 硬布线控制器逻辑设计中注意的事项 (1) 采用适宜指令格式,合理分配指令操作码; (2) 确定机器周期、节拍与主频; (3) 确定机器周期数及一周期内的操作; (4) 进行指令综合;综合所有指令的每一个操作命令,写出逻辑表达式,并进行化简。 (5) 明确组合逻辑电路。将简化后的逻辑表达式用组合逻辑电路来实现。操作命令的控制信号先用逻辑表达式列出,进行化简,考虑各种条件的约束,合理选用逻辑门电路、触发器等器件,采用组合逻辑电路的设计方法产生控制信号。 总之,控制信号的设计与实现,技巧性较强,目前已有一些专门的开发系统或工具供逻辑设计使用,但是,对全局的考虑主要依靠设计人员的智慧和经验实现。 2.微程序控制器 采用微程序控制方式的控制器称为微程序控制器。所谓微程序控制方式是指微命令不是由组合逻辑电路产生的,而是由微指令译码产生。一条机器指令往往分成几步执行,将每一步操作所需的若干位命令以代码形式编写在一条微指令中,若干条微指令组成一端微程序,对应一条及其指令。在设计CPU时,根据指令系统的需要,事先编制好各段微程序,且将它们存入一个专用存储器(称为控制存储器)中。微程序控制器由指令寄存器IR、程序计数器PC、程序状态字寄存器PSW、时序系统、控制存储器CM、微指令寄存器以及微地址形成电路。微地址寄存器等

计算机组成原理课程设计—硬布线控制器的设计与实现

硬布线控制器的设计与调试 一、教学目的、任务与实验设备 ·教学目的 1.融会贯通计算机组成原理课程和计算机系统结构课程的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬布线控制器的认识。 2.学习运用ISP(在系统编程)技术进行设计和调试的基本步骤和方法,熟悉集成开发软件中设计调试工具的使用,体会ISP技术相对于传统开发技术的优点。 3.培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。·教学任务 1.按给定的数据格式和指令系统,在所提供的器件范围内,设计一台硬布线控制器控制的模型计算机。 2.根据设计图纸,在通用实验台上进行组装,并调试成功。 3.在组装调试成功的基础上,整理出设计图纸和其他文件。 · 2.直流万用表一只

3.集成电路建议使用ISP芯片(一片ispLSI1032)。采用ISP器件,则需要一台PC机运行设计自动化软件(例如ispEXPERT)作设计、编程和下载使用。 二、总体设计思路(描述指令系统,给数据通路) 采用与模型计算机相同的指令系统,即12条机器指令。实验设计中采用该指令系统的子集:去掉中断指令后的3条机器指令,只保留9条指令。 采用的数据通路和微程序控制器方案相同。 ·数据通路图和数据通路控制信号 DBUS 图4 数据通路总体图 控制器的设计思路 硬布线控制器能够实现控制功能,关键在于它的组合逻辑译码电路。译码电路的任务就是将一系列有关指令、时序等的输入信号,转化为一个个控制信号,输出到各执行部件中。

根据硬布线控制器的基本原理,针对每个控制信号S,可以列出它的译码函数S = f( Im, Mi, Tk, Bj )其中Im是机器指令操作码译码器的输出信号,Mi是节拍信号发生器的节拍信号,Tk是时序信号发生器的时序信号,Bj是状态条件判断信号。 在TEC—4计算机组成原理实验系统中,因为时序信号Tk(T1—T4)已经直接输送给数据通路,所以译码电路不需Tk作为输入。又因为机器指令系统比较简单,操作码只有4位,不需要专门的操作码译码器,因此Im直接就是操作码,即指令寄存器的IR4—IR7信号。Mi的来源就是时序模块的节拍信号,例如W4—W1。Bj的信号包括: 1.来自数据通路中运算器ALU的进位信号C; 2.来自控制台的开关信号SWC、SWB、SWA; 3.其他信号。 其中C、SWC、SWA和SWB信号在微程序控制器中同样存在,不用加以解释。由于硬布线控制器设计和微程序控制器设计的不同需求和特点以及控制器的设计方案的不同,可能需要其他信号,也可能不需要其他信号,根据设计方案而定。 每个控制信号的函数式都是上述输入信号的逻辑表达式,因此可以用各种组合逻辑构造电路网络,实现这些表达式的逻辑功能。理论上,只要对所有控制信号都设计出译码函数,这个硬布线控制器的方案也就得到了。

计算机组成原理TEC-4实验手册(含实验步骤)完整6个实验-三个程序设计

TEC—4计算机组成原理实验系统教师实验指导书 清华大学科教仪器厂 2004年11月

目录 前言 2 TEC—4计算机组成原理实验系统 3 基本实验 运算器组成实验 13双端口存储器原理实验 18数据通路组成实验 22微程序控制器组成实验 28 CPU组成和机器指令执行实验 40中断原理实验 45 课程设计 硬布线控制器的设计与调试 50流水微程序控制器的设计与调试 61流水硬布线控制器的设计与调试 70 附录 78

前言 计算机组成原理是大学计算机专业的一门专业基础课程。清华同方股份有限公司和北京邮电大学白中英教授合作,开发出TEC—4计算机组成原理实验系统。在这个实验设备上,既能够做计算机组成原理课程的实验,又能够进行计算机系统结构课程的流水实验。该实验设备计算机模型简单、实用,运算器数据通路、控制器、控制台各部分划分清晰,为学生提供了很多的动手条件,有利于提高学生学习的主动性和创造性。 为了更好地使用TEC—4计算机组成原理实验系统,我们编写了这本《教师用实验指导书》。它是TEC—4计算机组成原理实验系统的配套资料。本书提供了9个实验,其中6个基本实验,3个课程设计。对每个实验提供了接线图、实验步骤及实验结果;对3个课程设计提供了参考方案。当然,每个实验的接线方法或者源程序绝不是唯一的,实验结果也会有所不同。本书提供的接线图和源程序只是许多接线方法、源程序中的一种,只能作为参考。这些接线图、源程序和实验结果都经过实际实验验证。本书的目的是希望减少教师设计、准备、调试实验的劳动,让教师把主要精力集中在提高教学质量上。各位老师在使用中如果发现错误和不妥之处,欢迎提出宝贵意见,以便将来改进。 除了本书外,TEC—4计算机组成原理实验系统还有另一本配套资料《计

硬布线控制器的设计

硬布线控制器的设计课程名称:计算机组成原理与体系结构 指导教师:

北京邮电大学课程设计报告

目录 实验介绍 (4) 实验要求 (4) 实验目的 (4) 实验设备 (4) 总体设计 (4) 实验中的时序信号 (4) 数据通路图 (5) 硬布线控制器结构方框图 (6) 设计步骤 (6) 测试结果 (15) 问题总结 (16) 实验心得 (17)

一实验介绍 硬布线控制器是一种由门电路和触发器构成的复杂树形逻辑网络构成的逻辑电路,是早期设计计算机的一种方法,这种方法是把控制部件看做为产生专门固定时序控制信号的逻辑电路,而此逻辑电路以使用元件最少和取得最高操作速度为设计目标。一旦控制部件构成后,除非重新设计和物理上对它重新布线,否则要想增加新的控制功能是不可能的。 硬布线控制器是计算机中最复杂的逻辑部件之一,当执行不同的机器指令时,通过激活一系列彼此很不相同的控制信号来实现对指令的解释,其结果使得控制器的设计和调试非常复杂且代价很大,因此被微程序控制器所取代,但随着新一代机器及VLSI技术的发展,硬布线逻辑设计又得到了重视。 二实验要求 利用TEC-4实验台的ispLSI1032芯片设计硬布线控制器,执行:五条控制台指令:RRF,WRF,RRM,WRM,RP。八条机器指令:ADD,SUB,AND,STA,LDA,JC,STP,OUT。 三实验目的 (1)融会贯通计算机组成原理课程和计算机系统结构课程的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬联线控制器的认识。 (2)学习运用ISP技术进行设计和调试的基本步骤和方法,熟悉集成开发软件中设计,模拟调试工具的使用,体会ISP技术相对于传统开发技术的优点。(3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。 四实验设备 1.TEC-4计算机组成原理实验系统一台 2.双踪示波器一台 3.直流万用表一只 4.逻辑测试笔一支 5.集成电路若干片,取决于设计方案,集成电路建议使用ISP芯片(一片ispLSI1032)。采用ISP器件,则需要一台PC机运行设计自动化软件ispEXPERT作设计、编程和下载使用。 五总体设计 1实验中的时序信号 (1)时序信号发生器 由晶体振荡器产生MF信号,同时产生T1,T2,T3,T4,W1,W2,W3为时序信号,关系如下图。其中W1,W2,W3用于硬布线控制器的节拍信号

第六章中央处理器(硬布线控制器设计)

计算机组成原理 第六章中央处理器 6.8 硬布线控制器设计(1)

1基本原理 ?将控制器看成产生固定时序控制信号的逻辑电路 ? ?输出信号:功能部件控制信号序列 ?设计目标:最少元件,最快速度 ?理论基础:布尔代数 ?组成器件:门电路,触发器 指令 译码 微操作控制信号序列 指 令 寄 存 器 IR 反馈信号 I1 I m n B1 B j ID 硬布线控制器 CLK 机器指令字→控制器信号序列

2 单总线结构CPU ID 操作控制器 时序部件 PC PC in PC out IR in IR IR out +1ALU X Z A B ADD X in Z out SUB R0in R2 R1R0 R0out R1in R1out R2in R2out MEM AR DR W rite R ead AR in DR in DR out DRE out DRE in 总线 :数据流:控制流 操作控制信号 … 1.LOAD R0,6# 2.MOVE R1,10 3.ADD R0,R1 4.STORE R0,(R2) 5.JMP 1000

3单总线结构CPU指令周期 取指令周期 执行周期?定长指令周期:传统三级时序 ◆2个机器周期,8个时钟周期、慢、设计简单?变长指令周期:现代时序 ◆ 时钟周期数可变,快,设计复杂

节拍脉冲 T 3T 4 T 2 T 1 取指执行 固定2个机器周期,8个时钟节拍 构建时序产生器 输出:M IF ,M EX ,T1,T2,T3,T4 4 定长指令周期时序产生器传统三级时序

时序产生器 S 0 T1=1M IF =1 取指令周期 S 1 T2=1M IF =1 S 2 T3=1M IF =1 S 3 T4=1M IF =1 S 4 T1=1M EX =1 执行指令周期 S 5 T2=1M EX =1 S 6 T3=1M EX =1 S 7 T4=1M EX =1 5 时序产生器状态机 首先实现状态机构建时序产生器 M IF T1M EX T2T3T4 时序产生器组合逻辑 现态 状态机组合逻辑 状态寄存器 时钟CLK 现态 次态 次态

相关文档
最新文档