计算机组成原理课程设计

计算机组成原理课程设计
计算机组成原理课程设计

前言

《计算机组成原理与接口技术》是计算机相关专业的非常重要的一门专业基础课,同时也是一门实践性很强的课程,计算机组成和运行机制方面以及外设接口的许多基本概念、基本原理、基本设计和分析方法都需要在实践中进一步加深理解,同时在实践中提高学生的实际应用能力。“计算机组成原理与接口技术课程设计”是与《计算机组成原理与接口技术》课堂教学同等重要的环节,希望通过课程设计,使学生对《计算机组成原理与接口技术》课程有一个更加全面、深刻的认识,并能初步建立较完整的计算机整机系统概念,培养学生独立思维意识、提高学生实际动手能力和解决实际问题的能力。

“计算机组成原理与接口技术课程设计”开设这么多年来,主要使用朱更明老师组织编写的、基于EWB(Electronics Workbench)5.0平台的课程设计指导书,而该软件近年来已经改名并发展到Multisim 13.x版本,因此我在原来课程设计指导书基础上进行了修改,改为针对Multisim软件进行介绍,并重点对运算器实验电路重新设计、制作以适用软件版本的改变。如果在教学实验过程中有什么问题,希望大家指出以利改进。

另外接口技术部分的实验则采用“微机接口网上虚拟实验室”实现,该虚拟实验室来自海军航空工程学院青岛分院计算机教研室,在此向吴为团等老师表示感谢。

由于课时问题,关于汇编编程和接口部分没有进行充分的介绍,希望同学们自行查阅相关资料,尽量更多更深了解各接口芯片(特别是8255A)工作原理以及编程等相关知识,看懂源程序并能按要求进行修改或编写及调试源程序。

第一部分实验平台

一、Multisim软件

(一)简介

随着电子技术和计算机技术的发展,电子产品已与计算机紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。EDA是在计算机辅助设计(CAD)技术的基础上发展起来的计算机设计软件系统。与早期的CAD软件相比,EDA软件的自动化程度更高、功能更完善、运行速度更快,而且操作界面友善,有良好的数据开放性和互换性。

MultiSim是由Electronics Workbench (EWB)发展而来,该软件是加拿大Interactive Image Technologies公司于八十年代末、九十年代初推出的电子电路仿真的虚拟电子工作台软件,现已并入National Instruments(NI,国家仪器有限公司)并改名Multisim,是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL|Verilog设计接口与仿真功能、FPGA|CPLD综合、RF设计能力和后处理功能,还可以进行从原理图到PCB布线工具包(如:Electronics Workbench 的Ultiboard2001)的无缝隙数据传输。它具有这样一些特点:

(1)采用直观的图形界面创建电路:在计算机屏幕上模仿真实实验室的工作台,绘制电路图需要的元器件、电路仿真需要的测试仪器均可直接从屏幕上选取;

(2)软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。(3)软件带有丰富的电路元件库,提供多种电路分析方法。

(4)作为设计工具,它可以同其它流行的电路分析、设计和制板软件交换数据。

(5)还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况,熟悉常用电子仪器测量方法。

因此非常适合电子类课程的教学和实验,由于其功能非常强大,所以在这里只对课程设计有关的初步知识,基本操作方法进行介绍,有兴趣深入了解的同学可自行登陆https://www.360docs.net/doc/7f8155724.html,/multisim/zhs/

(二)软件基本操作方法介绍

1. 电路创建

软件界面如图1-1所示,由于Mutlisim是Windows下软件,所以基本操作大家都非常熟悉,无需赘言。

图中央部分为工作区:可设置图纸大小,第二个实验电路较复杂,可设置A3尺寸;

左边为元件工具栏:包含元件箱按钮(Parts Bin),单击它可以打开元件族工具栏(此工具栏中包含每一元件族中所含的元件按钮,以元件符号区分)。绿色的是虚拟元件,是可以随意改变参数的。黑色元件是有封装的真实元件,参数是确定的,不可以改变;

右边为仪表栏,在本课程设计过程中基本上不要用;

右上角“开关”即“仿真开关”,当然也可在菜单中找到该命令。

图1-1 Multisim界面示意图

1.1放置元件

利用元件工具栏放置元件,这是放置元件的一般方法。也可以用Place|Place Component放置元件,当不知道要放置的元件包含在哪个元件箱中时这种方法很有用。

以放置电源为例进行说明。

同理,可以将电路中所需元件一一放置到工作区。

另外双击元件出现对话框,可对元件的具体属性进行设置。通过鼠标右键可选择元件按一定角度旋转以及进行其他设置。

1.2连线

Multisim有自动与手工两种连线方法。自动连线选择管脚间最好的路径自动为您完成

连线,它可以避免连线通过元件和连线重叠;手工连线要求用户控制连线路径。可以将自动连线与手工连线结合使用,比如,开始用手工连线,然后让Multisim自动地完成连线。

自动连线:单击甲部件管脚,再单击乙部件欲连线管脚,实现自动连线。

手工连线:在甲乙两部件之间增加若干“节点”,然后进行连线。

另外:已经画好的连线可选择其线段用鼠标进行拖动改变位置,使线路更清晰。还可以在图中增加文本进行注释。具体操作可自行详细体会。

所有元件都放置好并连线,就可以进行仿真。

2.用户自定义元件的创建

上述元件都是从软件所带的标准元件库中选择,而在实验过程中有时也需要一些标准

库中没有的元件,此时只有自行定义了。下面以8位ALU为例进行说明。

(1)创建

菜单命令“Place”、“New Hierarchical Block”出现如下对话框:

图1-2 新建层次块示意图

在指定文件夹中创建指定的层次块,当然要指定输入引脚和输出引脚的数量。对于8位ALU层次块来说,有22输入,9个输出引脚。如图1-3所示。

图1-3 8位ALU层次块示意图

在图1-2中“确定”后进入图1-3,当然中间的两个74ls181n是后来加进来的,并且图右下侧的“电源”和“接地”的元件如果没有的话,在Multisim版本中层次块无法正常使用。

进入图1-3后,左边一列为输入引脚,双击引脚名可改为更直观的A1~A8,B1~B8,S0~S4,以及输入进位Cin和算逻运算控制端M。右边一列为输入出引脚,同理,进行修改。

当元件放置后并正确连线,存盘。

(2)引用

如果在某电路文件中要使用该层次块,可“Place”、“Hierarchical Block from File”在对话框中选择该层次块文件,则将该自定义元件放置到电路中,如图1-4所示。

图1-4 8位ALU层次块引用示意图

层次块加入电路后,连线组成具体电路。在后面实验中还要使用一些层次块电路,下面一一列出。

图1-5 74LS244N层次块示意图

图1-6 74LS273N层次块示意图

图1-7 74LS374N层次块示意图

图1-8 K8层次块示意图

在高版本Multisim中有“DSWPK_8”可代替K8层次块。

在制作层次块时对相关元件的功能请自行参详。

另外更高版本中已经可以采用“子电路”形式创建用户自定义元件,有兴趣的同学可以自行尝试。

参考文献:主要参考NI网络文件《Multisim 8 使用手册》

二、微机接口网上虚拟实验室

(一)系统介绍

在《计算机组成原理与接口技术》课程教学中,实验教学是一个很重要的环节,学生只有通过足够的实验操作和一定数量的综合设计性实验,才能加深理解和掌握该课程的基础理论和应用技术,初步具备计算机硬件技术的开发应用能力。微机接口则是实验教学中一个重要的内容,目前的接口实验都需要有专门的硬件实验系统才能进行,这不仅需要大量的实验设备和必要的器件、工具,而且还需要有专门的场所和经常性的维护保障,“人、财、物”各方面都需要较大的投入。如何更合理地配置教育资源,解决好资金投入与人才培养之间的矛盾,是学校开展实验教学亟待解决的问题。为了解决高校日趋紧张的实验设备及实验场地等实验教学问题,海军航空工程学院青岛分院计算机教研室开发出了“微机原理虚拟实验教学系统”。

传统的微机原理与微机接口实验设备包括:“微机”和与其连接的“专用实验台”两个部分,而微机原理虚拟实验教学系统则采用以“软”代“硬”和“虚”、“实”结合的设计思路,强调实用性、效益性为主,以最大限度地仿真真实的实验环境。主要实现了“专用实验台”的虚拟化,将它“搬”到了“微机”的显示器上,而原有在微机上的实验操作环境和方法仍然保持不变。这也是系统的主要特点之一,从而实现了系统的开放性和最大的“真实性”。

微机原理虚拟实验教学系统包含虚拟接口电路和虚拟实验操作台。“虚拟接口电路”是实验程序和虚拟实验操作台的连接通道,包含了实验中常用的I/O接口芯片,有8255、8253、8251、A/D、D/A等,具有与实际芯片相同的功能,并与实际的微机实现了“连接”,能够被实际的实验程序所访问,也即对调试程序而言与真实的存在几乎没有什么差别。“虚拟实验操作台”主要包括常用的外围单元电路,如指示灯、数码管、键盘、开关、电机、传感器等,它是一个交互性较强的可视化界面,不仅可以指示或反映出实验的结果,同时学生可以通过鼠标来进行模拟的连线和使用虚拟的测试仪器进行检测等操作。仿真实验操作台的设计主要通过多媒体技术和语言编程来实现,一方面要注重界面的逼真,操作台的界面可分别采取通用和某项实验专用两种布局形式,另一方面还要注重模拟操作的灵活性和开放性。另外,系统还应提供必要的测试仪器和工具(如三用表、示波器等),以便在实验调试时能够对运行结果进行监视或测量,如输出电平或波形,这种虚拟的测试仪器和工具也应在界面和操作方面做到尽可能逼真。

由以上两部分构成的微机原理虚拟实验系统,基本可以在很多功能方面取代传统的硬

件实验平台。利用该系统,学生通过汇编程序或其它语言程序对其虚拟的接口和电路进行访问操作,也即进行微机接口实验,并且能达到跟传统实验相同的效果。

(二)功能特点

本系统主要提供了与微机系统“连接”的虚拟实验平台,以便让学生能够进行微机接口电路及其相关程序的实验调试和操作。主要功能和特点:

●仿真8255、8253、8251、A/D、D/A芯片和基本I/O接口电路等;

●虚拟常用外围单元电路,包括指示灯、数码管、键盘、传感器、交通灯、霓虹灯等;

●提供虚拟测试设备和工具:示波器;

●除提供进行实验调试和操作外,还可进行课程设计;

●提供典型实验项目的参考实例和教学;

●能够以Web方式在远程网络上使用,适应现代化教学的发展要求。

(三)实验项目

1、输入输出控制方式:查询输出方式实验

2、8255可编程并行接口芯片:

1)LED显示控制实验

2)数码管显示控制实验

3)键盘接口实验

3、8253可编程定时/计数器芯片:8253方波输出实验

4、模数接口芯片:

1)ADC0809实验

2)DAC0832实验

5、8251串行通信芯片:双机异步通信

6、课程设计:

1)交通灯控制系统设计

2)温度监控系统设计

3)霓虹灯控制系统设计

(四)操作使用步骤

1.进入“微机接口虚拟实验室”

通过输入网址https://www.360docs.net/doc/7f8155724.html,,进入如下图所示网站。

系统主要包含有11个典型“实验项目”和对应的11个“虚拟实验台”,并提供有关接口的常用芯片查询等。

每一个典型实验的结构又包括4个部分:目的内容、实验原理、例程演示、实验操作。

其中,“目的内容”部分给出该实验项目包含的几个具体内容和实验要求;“实验原理”部分详细介绍了该实验的工作原理,或给出实验设计的基本思路等;“例程演示”部分则给出实验设计的参考方法和结果演示,便于学生的自学和难点解答;“实验操作”部分提供一个专用虚拟实验台,虚拟了一个逼真的实验平台,让学生在此做实验,外部电路以及仪器都有真实的效果,学生可以进行操作和程序调试。

2.准备“虚拟实验台”

点击上图中“免费下载”,进入如下图页面,输入验证码后下载软件并安装,在桌面

会生成“微机接口虚拟实验”图标,双击即可运行该虚拟实验室。

注:如果网络拥挤无法下载可以错开时间进行。

每个“虚拟实验台”都有一个操作菜单,通过它可以查看该接口电路的“电路连接图”和相关的“使用说明”等。

其中“使用说明”中,主要给出该接口电路占用的端口地址,以及操作说明,在实验前,应仔细阅读。

3.开始实验

1)打开“虚拟实验台”电源开关

在调试运行实验程序前,若“虚拟实验台”上的电源开关没有自动打开(红灯“灭”状态),则可点击电源开关,等待与服务器的连接,连接成功后,红灯亮,表示可以正常调试运行实验程序进行实验了。若连接不成功,则电源开关自动回复到关状态。

注意:对于可编程接口芯片,每次加电时,都将自动复位和进入默认工作状态,所以程序运行中可能需要再次重新初始化。实验中,有时可以分别打开和关闭电源开关,查看和分析端口状态和运行结果的变化。

2)调试实验程序

实验程序的调试一般是通过选择“DOS命令提示符”,打开DOS窗口,然后利用之前安装的MASM汇编工具等进行的。软件调试过程主要包括:编辑程序、汇编程序、连接程序和运行程序等。

四、操作示例

1.接口命令操作示例(LED显示控制)

主页中选择“虚拟实验台”,打开“LED显示控制实验台”和右击后选择“使用说明”,可看到该实验台中8255的端口地址为400H~403H。

选择windows的“开始->程序->附件->DOS命令提示符”,即可打开DOS窗口;

输入命令debug 回车

在”-”状态下输入命令: O 403 80回车 (第一个是字母“O”,其它为数字“0”) 这个命令是初始化“实验台”上的8255的3个端口均为输出方式(其电源必须处于打开状态才有效);

在”-”状态下输入命令: O 400 55回车

这个命令是向8255的PA口输出字节数01010101,此时应能看到“实验台”上对应连接到PA口的8个灯有4个亮4个灭(交错);

在”-”状态下输入命令: O 401 0F回车

这个命令是向8255的PB口输出字节数00001111,此时应能看到“实验台”上对应连接到PB口的8个灯前4个灭后4个亮。

由此说明,“虚拟实验台”是开放的,可以随意实施控制。

2.接口程序调试过程(查询式输出程序)

1)主页中选择“虚拟实验台”,打开“查询式输出装置”和右击后选择“使用说明”,可看到该实验台的端口地址为43aH和43bH,关闭说明窗口,再最小化实验台。

2)编辑、汇编和连接汇编语言程序(真实实验的操作过程)

打开DOS窗口;

进入汇编程序MASM所在目录,假设MASM所在目录名为C:\Vlab

当前盘转到C盘(输入C:),输入命令CD\Vlab,再输入EDIT my.asm

在编辑窗中输入以下程序:

Code segment

Assume cs:code

Go: mov BH,1

MOV CX,10

L1: MOV DX,43bH

IN AL,DX

TEST AL,1

JNZ L1

Mov al,BH

MOV DX,43aH

OUT DX,AL

INC BH

LOOP L1

Mov ah,4ch

Int 21h

Code ends

End go

之后存盘退出;

在DOS下输入命令:MASM MY ,之后连续按三次回车对刚输入的程序进行汇编,若程序输入有错要回去修改;

再输入命令:LINK MY ,之后连续按三次回车进行连接,生成可执行程序MY.exe。

3)调试程序

打开被最小化的“查询式输出装置”,再回到DOS窗输入:MY (运行实验程序),此时应能看到“输出装置”上完整地接收到了10个数据,则实验成功,否则为实验程序错误。

说明:系统安装目录(C:\Vlab)下存放了一些汇编语言实例程序可供参考。

参考文献:https://www.360docs.net/doc/7f8155724.html,有关网络文档。

第二部分课程设计

一、课程设计的主要任务和目的

课程设计的主要任务是运用有关的知识技术,正确处理各种因素间的相互关系,创造性地完成符合实际要求的设计任务。在独立思考,独立工作和联系实际方面,它对实验者的要求高于平时作业。具体要求如下:

1)、能独立运用理论知识和实际材料来解决问题。

2)、对所搜集的材料、参考书、文献所提供的论点有周密的组织能力。

3)、能用通顺的文字和准确的图表,系统地、完整地表达设计的成果。

4)、课程设计辅导老师检查完成情况后,应及时提交实验报告,实验报告主要内容:实验名称及实验完成者、实验目的和任务、实验结果及分析。

课程设计的目的是:激发实验者的学习热情,培养其独立工作的能力,让他们在实践活动中将所学知识综合运用,增长才干,积累经验。

二、具体实验

实验一验证74LS181运算和逻辑功能

1、实验目的

(1)掌握算术逻辑单元(ALU)的工作原理;

(2)熟悉简单运算器的数据传送通路;

(3)画出逻辑电路图及布出美观整齐的接线图;

(4)验证4位运算功能发生器(74LS181)组合功能。

2、实验原理

ALU能进行多种算术运算和逻辑运算。4位ALU-74LS181能进行16种算术运算和逻辑

3、实验内容

电路如图2-1所示。

图2-1 4位ALU验证电路示意图

4.实验要求

(1)根据实验内容,认真完成实验操作,并做好记录。

(2)实验报告。

实验二运算器(2)

1. 实验目的

(1)熟练掌握算术逻辑单元(ALU)的应用方法;

(2)进一步熟悉简单运算器的数据传送原理;

(3)画出逻辑电路图及布出美观整齐的接线图;

(4)熟练掌握有关数字元件的功能和使用方法。

(5)熟练掌握子电路的创建及使用。

2. 实验原理

本实验仿真单总线结构的运算器,原理如图2-2所示。相应的电路如图2-3所示。

电路图中,上右下三方的8条线模拟8位数据总线;K8产生所需数据;74244层次块为三态门电路,将部件与总线连接或断开,切记总线上只能有一个输入;两个74273层次块作为暂存工作寄存器DR1和DR2;两个74374层次块作为通用寄存器组(鉴于电路排列情况,只画出两个通用寄存器GR1和GR2,如果可能的话可设计4个或8个通用寄存器);众多的开关作为控制电平或打入脉冲;众多的8段代码管显示相应位置的数据信息;核心为8位ALU层次块。

图2-2 单总线结构的运算器示意图

3. 实验内容

在Multisim画出电路图并仿真,完成如下操作。

(1)说明整个电路工作原理。

(2)说明74LS244N的功能及其在电路中作用,及输入信号G有何作用;

(3)说明74LS273N的功能及其在电路中作用,及输入信号CLK有何作用;(4)说明74LS374N的功能及其在电路中作用,及输入信号CLK和OC有何作用;(5) K8产生任意数据存入通用寄存器GR1。

(6) K8产生任意数据存入通用寄存器GR2。

(7)完成GR1+GR2→GR1。

(8)完成GR1-GR2→GR2。

(9)完成GR1∧GR2→GR1。

(10)完成GR1∨GR2→GR2。

(11)完成GR1⊕GR2→GR1。

(12)~GR1→GR2。(“~”表示逻辑非运算)

(13)~GR2→GR1。

4. 实验要求

(1)根据实验内容,认真完成实验操作,并做好记录。

(2)实验报告。

图2-3 运算器示意图

实验三乘法实现

1. 实验目的:开放性实验,根据原理图实现乘法的电路设计并运行。

2. 实验原理

如图2-4是实现原码一位乘运算的基本硬件配置框图,根据该图用移位相加方法实现乘法,自行选择器件设计电路并运行。

图2-4 原码一位乘运算的基本硬件配置

提示:用74LS181作为加法器,用74LS194作为移位寄存器;手动开关作为计数器,实现4位*4位的乘法。

实验四查询式输出实验

1.实验目的:要求掌握查询式输出的工作原理。

2.实验原理:通过查询外设的状态信息决定是否输出数据。

3.实验内容:

(1)运行“查询式输出装置”,如图2-5所示。

(2)读懂示例程序checkout.asm,以备测试老师询问。

(3)编辑、调试、运行输出程序,将自己学号输出到实验台右侧数据缓冲区

框中。

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理考研知识点汇总

计算机组成原理考研知 识点汇总 一, 计算机系统概述 (一) 计算机发展历程 第一台电子计算机ENIAC诞生于1946年美国宾夕法尼亚大学.ENIAC用了18000电子管,1500继电器,重30吨,占地170m2,耗电140kw,每秒计算5000次加法.冯?诺依曼(VanNeumann)首次提出存储程序概念,将数据和程序一起放在存储器,使编程更加方便.50年来,虽然对冯?诺依曼机进行很多改革,但结构变化不大,仍称冯?诺依曼机. 发展阶段时间硬件技术速度/(次/秒) 第一代1946-1957 电子管计算机时代40 000 第二代1958-1964 晶体管计算机时代200 000 第三代1965-1971 中小规模集成电路计算机时代 1 000 000 第四代1972-1977 大规模集成电路计算机时代10 000 000 第五代1978-现在超大规模集成电路计算机时代100 000 000 EDVAC(Electronic Discrete Variable Automatic Computer)电子离散变量计算机 组成原理是讲硬件结构的系统结构是讲结构设计的 摩尔定律微芯片上的集成管数目每3年翻两番.处理器的处理速度每18个月增长一倍. 每代芯片的成本大约为前一代芯片成本的两倍 新摩尔定律全球入网量每6个月翻一番. 数学家冯·诺依曼(von Neumann)在研究EDVAC机时提出了“储存程序”的概念.以此为基础的各类计算机通称为冯·诺依曼机.它有如下特点: ①计算机由运算器,控制器,存储器,输入和输出五部分组成 ②指令和数据以同等的地位存放于存储器内,并可按地址寻访 ③指令和数据均用二进制数表示 ④指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 ⑤指令在存储器内按顺序存放 ⑥机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成 图中各部件的功能 ·运算器用来完成算术运算和逻辑运算并将的中间结 果暂存在运算器内 ·存储器用来存放数据和程序 ·控制器用来控制,指挥程序和数据的输入,运行以及 处理运行结果 ·输入设备用来将人们熟悉的信息转换为机器识别的 信息 ·输出设备将机器运算结果转为人熟悉的信息形式

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理-知识点

课程知识点分析 试题类型: 单项选择2’* 10 = 20’; 填空1’* 15 = 15’; 简答5’* 3 = 15’; 计算题6’* 5 = 30’; 分析论述10’*2 = 20’; 总分100’; 各位同学,在使用这份资料复习时,要注意: 带有红色标记的是重点内容; 尽管很多知识点只有几个字,但是涉及的内容却非常多,比如Cache映像机制;考虑到有些同学考试时有不好的习惯,为了避免麻烦,我在这儿只给大家提纲,请大家对应的看书; 请大家看时,把你特别不明白的地方标出来,发送给lei.z@,我在周一给大家讲解。蓝色标记是之前考过的,应该很重要。大题都在第四章以后--------------------------------------------------------------------- 第一章计算机系统概论 1.1教学内容介绍 (1计算机的发展与应用。 (2计算机系统的层次结构。

(3计算机的特点:快速性、通用性、准确性和逻辑性。 (4计算机的分类方法。 (5性能指标。 1.2重难点分析 (1计算机系统从功能上可划分为哪些层次?各层次在计算机系统中起什么作用? (2冯.诺依曼计算机体系的基本思想是什么?(选择、填空。指令和数据都是用二进制表示的 (3按照此思想设计的计算机硬件系统应由哪些部件组成?各起什么作用? (4如:指令和数据都存于存储器中,计算机如何区分它们? (5衡量计算机性能的主要指标- 机器字长(定义、主频、CPI、MIPS(含义、FLOPS等等 第三章系统总线 3.1教学内容 (1总线及分类。总线是连接各个部件的信息传输线,总线包括:片内总线、系统总线和通信总线。 (2理解总线标准的意义,看看你知道主板上的几种标准总线。 (3总线特性及性能指标: 包括机械特性、电气特性、功能特性和时间特性。 (4总线结构:单总线结构、双总线结构和三总线结构。 (5总线连接方式: 串行传送、并行传送和分时传送。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

计算机组成原理重点难点习题解答

计算机组成原理复习题 一.单项选择题 1.计算机中的主机包含( A )。 A.运算器、控制器、存储器 B.运算器、控制器、外存储器 C.控制器、内存储器、外存储器 D.运算器、内存储器、外存储器 2.二进制数10010010,相应的十进制数是(B)(128+16+2=146) A.136 B.146 C.145 D.144 3.要使8位寄存器A中高4位变0,低4位不变,可使用(A)。逻辑乘 A. A∧0FH→A B.A∨0FH→A C. A∧F0H→A D. A∨F0H→A 4.在计算机内部用于汉字存储处理的代码是(B) A.汉字输入码 B.汉字内码 C.汉字字型码 D.汉字交换码 5.转移指令执行时,只要将转移地址送入( C.程序计数器)中即可 A.地址寄存器 B.指令寄存器 C.程序计数器 D.变址寄存器 6.设机器中存有代码10100011B,若视为移码,它所代表的十进制数为( B.35)。 A.-23 B.35 C.53 D-113 7.将(-25.25)十进制数转换成浮点数规格化(用补码表示),其中阶符、阶码共4位,数符、尾数共8位,其结果 为( B.0101,10011011 ) A.0011,10010100 B.0101,10011011 C.0011,1110 D.0101,1100101 8.(2000)10化成十六进制数是( B.(7D0)16)。

A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7FO)16 9. 下列数中最大的数是((10011001)2=153 )。 A.(10011001)2 B.(227)8C。(98)16 D.(152)10 10. ( D. 移码)表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 11. 在小型或微型计算机里,普遍采用的字符编码是( D. ASCⅡ码)。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 12. 下列有关运算器的描述中,(D. 既做算术运算,又做逻辑运算)是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 13.控制存储器存放的是(C.微程序)。 A.微程序和数据 B.机器指令和数据 C.微程序 D.机器指令 14. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为( B. 立即寻址)。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 15. 下面描述的RISC机器基本概念中正确的表达是( B. RISC机器一定是流水CPU)。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统 D. CPU配备很少的通用寄存器 16. 系统总线中地址线的功能是(D. 用于指定主存和I/O设备接口电路的地址)。 A. 用于选择主存单元地址 B. 用于选择进行信息传输的设备

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

《计算机组成原理》课程标准

《计算机组成原理》课程标准 一、课程基本情况 课程名称:计算机组成原理 适用专业:计算机应用专业 课程性质:专业核心课程 计划学时:60学时 二、制定课程标准的依据 本课程教学标准依据中职计算机应用专业的专业教学标准中的人才培养目标和培养规格以及对 计算机组成原理课程教学目标要求而制定,用于指导计算网组成原理课程教学和课程建设。 三、课程性质 本课程是计算机应用专业的一门专业核心课程。本课程通过介绍计算机硬件基本结构、工作原理和分析设计方法等方面的知识,培养学生对计算机的整机概念有较完整清晰的认识,对计算机的硬件结构有深刻的理解和对硬件的分析与设计方法有一定的认识。同时也为学习后续课程打下一定的基础。 四、本课程与前续课程和后续课程的关系 本课程学习和训练之前,学生应已修完如下课程:计算机应用基础、数字电路,而他的后续课 程是计算机系统结构、计算机组成原理。本课程在他的前续课程和后续课程之间起到了纽带的作用。 五、课程的教育目标 1.知识、能力目标 (1)知道《计算机组成原理》这门学科的性质、地位和独立价值; (2)理解计算机系统的运算器、控制器、存储器、输入设备和输出设备五大组成部件的概念和功能,及以整机的工作原理; (3)理解数值数据的表示方法以及运算器的计算方法,了解非数值数据的表示方法和常用编码; (4)理解运算器、控制器、存储器、以及有关的输入设备和输出设备等各个部件的组成结构和基本功能; (5)掌握基本的定点数的加、减运算和实现的基本逻辑电路框图以及浮点数的表示方法; (6)掌握指令的概念和功能以及指令的各种寻址方式和指令类型; (7)知道存储器层次结构和主存系统的设计方法; (8)掌握CPU的功能及组成; (9)理解几种常见的外围设备的信息交换方式; (10)了解常用的外围设备和使用方法; (11)理解组合逻辑控制器和微程序控制器的基本的设计和分析方法。 2.方法、过程目标 (1)通过本课程的学习,培养学生通过计算机组成原理实验,进一步理解计算机内部的工作原

计算机组成原理实验

实验3 MIPS指令系统和MIPS体系结构 一.实验目的 (1)了解和熟悉指令级模拟器 (2)熟悉掌握MIPSsim模拟器的操作和使用方法 (3)熟悉MIPS指令系统及其特点,加深对MIPS指令操作语义的理解(4)熟悉MIPS体系结构 二. 实验内容和步骤 首先要阅读MIPSsim模拟器的使用方法,然后了解MIPSsim的指令系统。(1)、启动MIPSsim (2)、选择“配置”->“流水方式”选项,使模拟器工作在非流水方式。

(3)、参照使用说明,熟悉MIPSsim模拟器的操作和使用方法。 (4)、选择“文件”->“载入程序”选项,加载样例程序 alltest.asm,然后查看“代码”窗口,查看程序所在的位置。 (5)、查看“寄存器”窗口PC寄存器的值:[PC]= 0x00000000 。

(6)、执行load和store指令,步骤如下: 1)单步执行一条指令(F7)。 2)下一条指令地址为 0x00000004 ,是一条有(有,无)符号载入字节 (字节,半字,字)指令。 3)单步执行一条指令(F7)。 4)查看R1的值,[R1]=-128。

5)下一条指令地址为 0x00000008 ,是一条(有,无)符号载入字(字节,半字,字)指令。 6)单步执行1条指令。 7)查看R1的值,[R1]=128。 8)下一条指令地址为 0x0000000C ,是一条无(有,无)符号载入字(字节,半字,字)指令。 9)单步执行1条指令。

10)查看R1的值,[R1]=128。 11)单步执行1条指令。 12)下一条指令地址为 0x00000014 ,是一条保存字(字节,半字,字)指令。 13)单步执行一条指令。

计算机组成原理期末复习知识要点

第一章 1)冯.诺依曼主要三个思想是什么? (1)计算机处理采用二进制或二进制代码 (2)存储程序 (3)硬件五大部分:输入设备、输出设备、存储器、运算器和控制器 2)计算机硬件由哪5部分组成? 输入设备、输出设备、存储器、运算器和控制器 3)VLSI中文的意思是什么? 超大规模集成电路 4)列举出三个计算机应用领域? 1.科学技术计算2.数据信息处理3.计算机控制 4.计算机辅助技术5.家庭电脑化 5)计算机系统分哪两大系统? 硬件和软件系统 6)计算机内部信息包括哪两大信息? 计算机中有两种信息流动:一是控制信息,即操作命令,其发源地为控制器;另一种是数据流,它受控制信息的控制,从一部件流向另一部件,边流动边加工处理。 7)计算机性能主要包括哪三个主要性能? (1)基本字长: 是参与运算的数的基本长度,用二进制数位的长短来衡量,取决寄存器、加法器、数据总线等部件的位数。 (2)主存容量:可以用字节,有的用字长,K、M、G、T (3)运算速度: 是每秒能执行的指令条数来表示,单位是条/秒。(MIPS) 8)现代计算机系统分为五个层次级别是如何划分的? 从功能上,可把现代计算机系统分为五个层次级别: 第一级是微程序设计级:是硬件级 第二级是一般机器级:机器语言级 第三级是操作系统级:是操作系统程序实现。(混合级) 第四级是汇编语言级:一种符号形式语言。 第五级是高级语言级 9)机器数是指什么?它主要是解决了数值的什么表示? 10)机器数有哪4种表示方法? 原码表示法、补码表示法、和移码表示法四种。 11)计算机数值有哪两种表示方式?它主要解决了数值的什么表示? 定点表示和浮点表示。主要解决数中小数点的位置的确定。 12)浮点数在计算机内部表示两种方式是如何安排的? 13)尾数是补码表示其规格化如何表示? 正数:0.1×…×的形式负数:1.0×…×的形式 14)解释计算机内部数值0和字符0有何不同? 数值0在计算机中为00H,而字符0为其ASCII码30H。 15)计算机如何判断加法溢出的? 当运算结果超出机器所能表示的数域范围时,称为溢出。 判别方法有:符号位判别法、进位判别法、双符号位判别法。 16)半加器与全加器有什么不同?

计算机组成原理全部实验.

计算机科学技术系王玉芬2012年11月3日

基础实验部分该篇章共有五个基础实验组成,分别是: 实验一运算器实验 实验二存储器实验 实验三数据通路组成与故障分析实验 实验四微程序控制器实验 实验五模型机CPU组成与指令周期实验

实验一运算器实验 运算器又称作算术逻辑运算单元(ALU),是计算机的五大基本组成部件之一,主要用来完成算术运算和逻辑运算。 运算器的核心部件是加法器,加减乘除运算等都是通过加法器进行的,因此,加快运算器的速度实质上是要加快加法器的速度。机器字长n位,意味着能完成两个n位数的各种运算。就应该由n个全加器构成n位并行加法器来实现。通过本实验可以让学生对运算器有一个比较深刻的了解。 一、实验目的 1.掌握简单运算器的数据传输方式。 2.掌握算术逻辑运算部件的工作原理。 3. 熟悉简单运算器的数据传送通路。 4. 给定数据,完成各种算术运算和逻辑运算。 二、实验内容: 完成不带进位及带进位的算术运算、逻辑运算实验。 总结出不带进位及带进位运算的特点。 三、实验原理: 1.实验电路图

图4-1 运算器实验电路图

2.实验数据流图 图4-2 运算器实验数据流图 3.实验原理 运算器实验是在ALU UNIT 单元进行;单板方式下,控制信号,数据,时序信号由实验仪的逻辑开关电路和时序发生器提供,SW7-SW0八个逻辑开关用于产生数据,并发送到总线上;系统方式下,其控制信号由系统机实验平台可视化软件通过管理CPU 来进行控制,SW7-SW0八个逻辑开关由可视化实验平台提供数据信号。 (1)DR1,DR2:运算暂存器, (2)LDDR1:控制把总线上的数据打入运算暂存器DR1,高电平有效。 (3)LDDR2:控制把总线上的数据打入运算暂存器DR2,高电平有效。 (4)S3,S2,S1,S0:确定执行哪一种算术运算或逻辑运算(运算功能表见附录1或者课本第49页)。 (5)M :M =0执行算术操作;M =1执行逻辑操作。 (6)/CN :/CN =0表示ALU 运算时最低位加进位1;/CN =1则表示无进位。 (7)ALU -BUS :控制运算器的运算结果是否送到总线BUS ,低电平有效。 (8)SW -BUS :控制8位数据开关SW7-SW0的开关量是否送到总线,低电平有效。 四、实验步骤: 实验前首先确定实验方式(是手动方式还是系统方式),如果在做手动方式实验则将方式选择开关置手动方式位置(31个开关状态置成单板方式)。实验箱已标明手动方式和系统方式标志。所有的实验均由手动方式来实现。如果用系统方式,则必须将系统软件安装到系统机上。将方式标志置系统模式位置。学生所做的实验均在系统机上完成。其中包括高 ALU DR1 DR2 LDDR1 T4 LDDR2 T4 S1 S2 M0 S0 CN S3

相关文档
最新文档